基于FPGA的UART串口通信数据回环实验

一、所需要的材料。

       硬件:Zybo Z7-20开发板、USB转TTL模块、排线(4根)、排针(4个)。

       软件:Vivado 2018.3、串口调试助手、USB驱动。

二、代码部分以及如何导入Vivado 2018.3。

       说明:本文代码部分基于正点原子bilibili官方视频的讲解。(【正点原子】手把手教你学FPGA-基于达芬奇FPGA开发板 A7_哔哩哔哩_bilibili)。

       代码获取:链接:链接:链接:https://pan.baidu.com/s/14uaY7CyAVj-zLPvvNBN1VQ 
提取码:LYFZ

       1.打开Vivado 2018.3,Create Project--->Next--->创建Project name和Project location,如图1。

评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值