自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(5)
  • 收藏
  • 关注

原创 读取bin文件和txt文件数据-matlab

bin2hex

2024-03-12 14:11:11 968 2

原创 非图形界面开发FPGA_VIVADO

非工程模式开发FPGA

2024-03-11 20:12:03 513

原创 从实现加法开始,一步一步发现可编程阵列-FPGA的工作方式

FPGA工作

2024-03-07 18:02:44 809 3

原创 整理FPGA程序-方便复用和验证

自用的一些程序,方便以后使用。

2023-09-22 10:05:01 169

原创 出现时序违例怎么解决-VIVADO

从发现问题,到解决问题;从vivado工具的警告和报错,提示需要关注时序分析;从小工程发展成大工程,时序分析还是挺重要的一个环节。

2023-08-29 10:14:09 5008 2

verilog spi简单时序整理

verilog spi简单时序整理

2024-02-29

I2cBus.v

I2cBus.v

2023-12-11

uiFDMA_v1.v

uiFDMA_v1.v

2023-09-22

newton_interpolate_v1.v

newton_interpolate_v1.v

2023-09-22

checkpoint.v

checkpoint.v

2023-09-22

dma_buffer.v

dma_buffer.v

2023-09-19

axi_async_decode.v

axi_async_decode.v

2023-09-19

ram_interface.v

ram_interface.v

2023-09-19

uart_txsm_check_off.v

uart_txsm_check_off.v

2023-09-19

uart_rxsm_check_off.v

uart_rxsm_check_off.v

2023-09-19

aserial_deal.v

aserial_deal.v

2023-09-18

clk_rst_n.v

clk_rst_n.v

2023-09-18

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除