【ARM杯】关于Modelsim仿真出现“Unknown identifier: unisim”错误的解决办法

Modelsim 调试Library unisim not found.的解决办法

xilinx调用modelsim时出错

# ** Error: (vcom-19) Failed to access library 'unisim' at "D:/Model/win32xoem/../xilinx/vhdl/unisim".

# No such file or directory.

# ERROR: serial.vhdl(8): Library unisim not found.

# ERROR: serial.vhdl(9): Unknown identifier: unisim

# ERROR: serial.vhdl(11): VHDL Compiler exiting

# ERROR: D:/Model/win32xoem/vcom failed.

好像是缺少unisim库解决方法如下:

(1)关闭modelsim

(2)重新打开modelsim,在modelsim里file菜单下new中选library,将名字改为unisim,这个时候面板上可以看到unisim这个库

(3)然后选择compile菜单中的compile,弹出一个窗口,最上面是选库,把他选成unisim,下面查找范围选择xilinx文件夹下的unisims文件夹,路径为 C:\Xilinx\vhdl\src 然后这时候你可以看见4个文件,按照以下顺序双击:unisim_VCOMP.vhd、unisim_SMODEL.vhd、unisim_VPKG.vhd、 unisim_VITAL.vhd。到当4个文件都compile 结束以后,选择done。关闭modelsim

(4)这时候你可以在C:\Modeltech_6.2b\examples文件夹中看到unisim文件夹,把这个文件夹copy到你的工程相应的文件夹里,就OK了。

Modelsim 调试Unable to check a licese.的解决办法

xilinx调用modelsim时出错,出现如下对话框:

modelsim调试常见错误解决方案

解决步骤如下:

(1)打开e:\sopc\modelsimSE6.2,双击keygen,生成的license.dat,默认存放在与keygen 同目录下;

(2)将keygen生成的license.dat复制到c:\flexlm下

  • 1
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值