自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(14)
  • 收藏
  • 关注

原创 《systemVerilog验证 测试平台编写指南》笔记 (总2) 第2章 <2>

目录1.动态数组1.动态数组动态数组的宽度在编译时是未知的,在程序运行时才能获取到,同时动态数组可以动态的创建和删除 int dyn[];int d2;initial begin dyn = new[5]; //动态创建 foreach(dyn[j]) //通过foreach初始化 dyn[j]=j; d2=dyn; ...

2022-03-12 15:45:12 238

原创 《systemVerilog验证 测试平台编写指南》笔记 (总1) 第2章 <1>

systemVerilog验证 测试平台编写指南

2022-03-09 10:51:56 302

原创 systemverilog enum

systemverilog enum

2022-03-04 11:58:58 431

原创 vpiVarSelect 展开并获取每一个变量

vpiVarSelect 展开并获取每一个变量

2022-01-11 15:49:50 227

原创 vpi_register_cb 注册自定义的回调函数

vpi_register_cb 注册自定义的回调函数

2022-01-10 10:24:53 695

原创 vpiVarSelect vpiRegArray vpiNetArray

vpiVarSelect vpiRegArray vpiNetArray

2021-12-27 22:24:42 214

原创 vpiParameter & vpiConstType

vpiParameter & vpiConstType

2021-12-27 22:14:02 170

原创 vpiPackage

vpiPackage#define vpiPackage 600从实际的systemverilog出发,经过分析发现,如下情况的outter以及inc2的类型属于vpiPackage可以看到outter和inc2的特点在于其不属于任何的modulewire outter=2'b01;function integer inc2; ......endfunctionmo

2021-12-20 11:18:10 73

原创 vpiScope

在LRM中vpiScope的含义是 containing scope object,这点不太好理解,做了一点实验,用于说明它的含义在iverilog里面:vpiScope iterator on vpiScope objects. In the VPI, the normal way to iterate over vpiScope objects contained within a vpiScope object, is the vpiInternalScope ...

2021-12-17 10:42:55 274

原创 iverilog 获取hierarchy tree

使用如下的case.v可以较好的帮助理解iverilog获取hierarchy tree的方法需要注意的是,iverilog对vpi有自己的改动和LRM不完全一样,其vpi的行为不同于vcs等业界工具sys_dumpvars_calltf ->draw_scope ->scan_item其中draw_scope会递归调用,并打印scope信息。对于下面的case.v来说,有$dumpvars(0, top.sub1.add1); 所以draw_scope的入参item是t..

2021-12-17 10:10:09 956

原创 通过gdb调试iverilog

iverilog

2021-12-17 10:02:57 832

原创 fsdbDumpvars的一些parameter

fsdbDumpvars的一些options

2021-12-07 09:00:37 6688

原创 verilog 中的一些数据类型

verilog 中的一些数据类型

2021-12-03 15:47:37 138

原创 SystemVerilog 中一些数据类型

packed array:An array where the dimensions are declared before an object name. Packed arrays can have any number of dimensions. A one-dimensional packed array is the same as a vector width declaration in IEEE 1364-2005 Verilog. Packed arrays provide a me

2021-12-03 10:02:41 552

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除