自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(17)
  • 资源 (1)
  • 收藏
  • 关注

转载 国外程序员整理机器学习资源大全

我想很多程序员应该记得 GitHub 上有一个 Awesome - XXX 系列的资源整理。awesome-machine-learning 就是 josephmisiti 发起维护的机器学习资源列表,内容包括了机器学习领域的框架、库以及软件(按编程语言排序)。C++计算机视觉CCV:基于C语言/提供缓存/核心的机器视觉库,新颖的机器视觉库。官网OpenCV:它

2017-02-20 11:35:52 471

原创 openstack kilo版本heat安装问题

官方的安装指导里有两个问题:1. heat-keystone-setup-domain: command not found解决方法:复制https://github.com/openstack/heat/blob/master/bin/heat-keystone-setup-domain 到 /usr/bin/heat-keystone-setup-domain顺手把缺少

2015-05-18 12:09:38 1255 1

原创 安装pvfs2

./configure --with-db=/usr/local/berkeleydb --disable-segv-backtrace

2014-02-11 05:28:26 544

原创 strlen和sizeof区别

strlen计算不包含终止null字节的字符串长度。sizeof计算包含。strlen需要进行函数调用。sizeof不需要。

2013-09-25 11:07:47 347

转载 openssl engine

http://www.atm.tut.fi/list-archive/freebsd-stable/msg09285.html

2013-07-11 22:40:54 673

原创 .vimrc

set nocompatibleset autoindentset smartindentset showmatchset rulerset incsearchset mouse=nset tabstop=4set shiftwidth=4set softtabstop=4set cindentsyntax enableset number

2013-07-01 22:57:57 413

转载 Build openssl with just RSA and AES

I'm using libcrypto.a (OpenSSL) with a project. By default all the algorithms are available under libcrypto.a. For the project i just need RSA, AES and SHA.How I can build libcrypto.a with just th

2013-07-01 21:32:55 778

原创 python hex string 转成 bytes

>>> v2 = '\x00\x00\x00\x00\xa9\x99\xc3\xb1'>>> v2hex = ' '.join( [ "%02X" % ord( x ) for x in v2 ] )>>> v2hex'00 00 00 00 A9 99 C3 B1'>>> bytes.fromhex(vhex)b'\x00\x00\x00\x00\xa9\x99\xc3\xb1'

2013-05-10 12:16:04 1686

翻译 指针,const,和typedef别名

C++ Primer 5th用类型别名声明的复合类型加上const会导致复杂的结果。比如,下列声明使用了类型pstring,一个char *的别名。typedef char *pstring;const pstring cstr = 0; //cstr是一个指向char类型的const指针const pstring *ps; //ps是一个指向char的const指针的指针

2013-04-13 13:20:56 749

翻译 LM4F120 2.5.6 中断优先级分组

翻译自ti文档P1032.5.6 中断优先级分组为了增强系统对中断的优先级控制,NVIC支持优先级分组。这种分组把每个中断优先级寄存器入口分为两块:上半区定义了组优先级。下半区定义了组里的子优先级。只有组优先级决定了中断异常能否抢占。当处理器正在执行一个中断异常处理程序时,另一个有着一样组优先级的中断的触发并不会抢占当前的处理程序。如果多个待处理的中断有一样的组优先

2013-04-10 12:00:58 590

翻译 LM4F120 2.5.5 异常优先级

翻译自ti文档p102页2.5.5 异常优先级如表2-8所示,所有的异常都拥有一个相关的优先级,更低的优先级值表示的优先级越高,除了重置,硬错误和不可屏蔽中断外的异常都可以更改。如果程序没有更改任意优先级,则所有的异常会拥有0的优先级。如果想要了解更多关于设置异常优先级的信息,请看165页和147页。注意:Stellaris把可更改的优先级值范围设定为0-7,。这意味着重置,硬

2013-04-10 09:02:35 859

翻译 LM4F120 2.5.4 向量表

翻译自ti文档 p1012.5.4 (异常)向量表向量表包含了对于异常处理程序的栈指针和起始地址的重置值,也叫做异常向量。向量表的条目是使用表2-8的向量地址或偏移量。图2-6说明了在向量表里异常向量的顺序。每个向量的最低有效位必须是1,表示这个异常处理程序是用Thumb指令写的。在系统重置时,向量表是固定在地址0x0000 0000。有特权的程序可以写向量表偏移量(VTABL

2013-04-06 04:45:31 652

翻译 LM4F120 2.5.3 异常处理程序

翻译自TI官方文档  p1012.5.3 异常处理程序处理器使用以下方法来处理异常:中断处理程序(ISR)。中断都是被中断处理程序接手的异常。错误处理程序。硬错误(hard fault),内存管理错误,使用错误(usage fault)和总线错误都是由错误处理程序接手处理的。系统处理程序。不可屏蔽中断(NMI),待处理特权模式调用(PendSV),特权模式调用(SVC)

2013-04-04 12:35:12 444

翻译 LM4F120 2.5.2 异常种类

翻译自ti官方datasheet p97页:2.5.2 异常种类重置。在上电或者热重启时候处于重置状态。重置在异常模型中是一种特别形式的异常。当处于重置状态时,处理器停止当前操作,可能会停在一条指令执行时候的任意一个时间点。当接触重置状态时,开始重新从中断向量表提供的重置异常进入地址开始执行。在线程(Thread)模式时,指令作为特权指令重新执行。不可屏蔽中断(NM

2013-04-03 12:36:03 534

翻译 LM4F120 2.5 异常模型(Exception Model)

翻译自TI官方文档 P962.5 异常模型ARM Cortex M4F 处理器和嵌入式向量中断控制器(NVIC)在Handler(不知道怎么翻译,句柄?)模式按着优先级处理所有的中断异常。异常发生时,处理器状态自动存储在栈中并在中断处理程序(ISR)结束时自动回复到栈中。状态保存时,向量表会被并行读取,并进入到有效的中断入口。处理器支持尾链(tail-chaining),可以使连续产生的中

2013-04-01 05:05:45 700

翻译 LM4F120 Register 72 73 74: System Handler Priority

翻译自TI官方datasheet P165。(关于中断种类:P97页,明天会翻译这个。)这三个寄存器只能在特权模式下被访问。数字越小级别越高。R72: SYSPRI1 0xE000 ED18第[23:21]位为使用错误中断(Usage Fault),比如被0整除,非对齐访问,访问协处理器错误,无效PC指针载入错误,未定义的指令错误。第[15:13]位为总线错误中断(Bu

2013-03-31 10:57:37 718

翻译 LM4F120 Register 119:Run Mode Clock Gating Control Register 2

翻译自TI官方datasheet P424.本寄存器在普通运行模式下控制门的时钟逻辑。每一位控制一个给定的接口、功能或模块的时钟使能端口。如果置1,模块会收到时钟并起作用。否则,模块是未收到时钟并关闭(为了节省电消耗)。如果模块没有被时钟驱动,读或写这个模块会产生总线错误Bus fault. 在重置状态下所有位都是0(没有时钟驱动),除了写明的某些,所以所有功能模块都是关闭的。应用软件

2013-03-30 06:42:40 920

NIOS II 软核开发指导书

Nios II Processor Reference Handbook

2011-03-31

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除