自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(8)
  • 收藏
  • 关注

原创 cocotb+icarus verilog RTL仿真环境问题记录

本文介绍了cocotb+icarus verilog联合仿真时的出现的一些问题

2024-05-06 17:55:15 854 5

原创 spinalHDL 在windows环境下的安装使用方法

本文记录了spinalHDL硬件描述语言工具,在windows环境下,编辑器采用vscode的安装过程。

2023-11-02 10:05:33 352 1

原创 xilinx官方文档 uram bram

uram bram。

2023-08-10 14:07:48 261 1

原创 xilinx官方文档 vivado design suite

1. ug912 - vivado 界面 设计体系。

2023-08-07 16:35:24 374

原创 xilinx 官方文档 时序优化

1. ug949​​​​​​​​​​​​​​​​Introduction • UltraFast Design Methodology Guide for FPGAs and SoCs (UG949) • 阅读器 • AMD 自适应计算文档门户 (xilinx.com)2. ug1292ug1292-ultrafast-timing-closure-quick-reference.pdf • 查看器 • AMD 自适应计算文档门户 (xilinx.com)

2023-08-07 16:19:14 106 1

原创 xilinx 7系列FPGA 官方文档整理

2. ug470 - 配置user guide。6. ug476 - GTX/GTH高速收发器。XADC : 模数转换,FPGA电压,温度监控。3. ug473 - 存储资源(BRAM)7. ug477 - PCIe IP核。2. ug472 - 时钟资源。4. ug474 - 逻辑资源。5. ug475 - 管脚资源。3. ug471 -IO资源。8. ug478 没找到。1. 官方文档查找链接。

2023-08-07 16:12:56 1522 1

原创 实验一:开发板点灯实验

本次实验,通过开关点亮小灯这个实验,初步了解了vivado工程的结构。以及FPGA是如何实现这些功能的。其中重点查看了综合后的原理图,和实现后的真实的布局布线。其中:1. 对于一个pad,我们可以用作输入,也可以用作输出。

2023-08-01 18:42:15 379

原创 数字电路valid-ready握手协议浅析(handshake protocol)

verilog数字电路设计中的valid-ready握手协议解析

2023-03-13 21:37:55 3443 4

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除