spinalHDL 在windows环境下的安装使用方法

安装所需的环境以及软件清单

  1. 电脑系统:windows11
  2. vscode
    1. metals 插件
    2. verilog 插件
  3. git
  4. jdk-17
  5.  msys2 
    1. msys
    2. mingw

安装参考网址

  1.         Install and setup — SpinalHDL documentation  —— spinalHDL Github 文档
  2. Java Downloads | Oracle —— java jdk 17 官网
  3. Git - Downloads (git-scm.com) —— Git 版本管理工具 官网

  4. GTKWave (sourceforge.net) —— gtkwave 文档

  5. Verilator User’s Guide — Verilator Devel 5.017 documentation —— Verilator RTL波形仿真 验证工具

  6. Visual Studio Code - Code Editing. Redefined ——vscode

        

安装过程:

安装spinalHDL以及其工作流(RTL生成,仿真)的依赖项

  1. 安装vscode
    1. 在vscode 官网下载并安装vscode
    2. 安装完成后,在vscode拓展商店安装metals
    3. 安装完成后,vscode左侧边栏会出现图标:
  2. 安装GIT
    1. 在官网安装git
  3. 安装jdk-1.7
    1. 注意添加环境变量
    2. 该环境变量会在安装时自动添加
    3. 安装完成后,在windows cmd shell中输入指令 : <java -version>,出现则说明jdk安装成功
  4. 安装msys2
    1. 需要加入以下环境变量:
    2. 注意,上述环境变量对verilator以及gtkwave的启动非常重要
  5. 安装verilator
    1. 首先,确保spinalHDL参考文档的版本处于master分支
    2. 打开msys2 msys shell窗口,输入以下指令:

      pacman -Syuu

      # will (request) close down terminal

      # open 'MSYS2 MINGW64' from start menu

      pacman -Syuu

      pacman -S --needed base-devel mingw-w64-x86_64-toolchain mingw-w64-x86_64-iverilog mingw-w64-x86_64-ghdl-llvm git

      curl -O  https://repo.msys2.org/mingw/mingw64/mingw-w64-x86_64-verilator-4.228-1-any.pkg.tar.zst

      pacman -U mingw-w64-x86_64-verilator-4.228-1-any.pkg.tar.zst

    3. 在上述指令执行完成后,打开msys2 MINGW64 shell窗口,输入以下指令:

      export VERILATOR_ROOT=/mingw64/share/verilator/

      export PATH=/c/Program\ Files/Eclipse\ Adoptium/jdk-17.0.8.101-hotspot/bin:$PATH

      export PATH=/c/Users/User/AppData/Local/Coursier/data/bin:$PATH

    4. 在上述指令执行完成后,打开msys2 MINGW64 shell窗口,输入指令: <verilator>,出现:则说明verilator安装成功
  6. 安装gtkwave
    1. 打开msys2 msys shell窗口
    2. 输入以下命令:<pacman -S mingw-w64-x86_64-gtkwave>
    3. 等待以上命令执行完成后,在windows shell 中输入 <gtkwave>,出现:则说明gtkwave安装成功。可以选择波形文件,加载后为:
  7. 安装spinalHDL
    1. 在完成上述spinalHDL依赖项的安装后,此时我们可以通过git命令:

      git clone --depth 1 https://github.com/SpinalHDL/SpinalTemplateSbt.git MySpinalProject

      cd MySpinalProject

      rm -rf .git

      git init

      git add .

      git commit -m "Initial commit from template"

    2. 在选中的路径下载spinalHDL基础项目:
    3. 接下来,用vscode打开该文件夹,右下角会出现

                                                  import build 

      选项,等待vscode自动下载scala的依赖,以及自动编译原有工程后,打开该路径文件
      D:\spinalHDL\MySpinalProject\hw\spinal\projectname


      该对象上方会出现<run> 按钮,点击后,vscode自带的命令行窗口会出现:
      此刻开始,恭喜你已经安装好spinal HDL。运行之后生成的RTL代码,可以在路径
              D:\spinalHDL\MySpinalProject\hw\gen\MyTopLevel.v
      下,找到生成的verilog文件:
    4. 接下来验证spinalHDL自带的仿真功能。打开路径文件
      D:\spinalHDL\MySpinalProject\hw\spinal\projectname\MyTopLevelSim.scala
      执行该对象run:
      终端输出:至此,恭喜你已经可以正常使用spinalHDL自带的仿真功能,以及verilator
    5. 通过gtkwave 查看上一步仿真生成的波形
      在windows cmd shell中输入
                gtkwave
      使用gtkwave打开路径文件
               D:\spinalHDL\MySpinalProject\simWorkspace\MyTopLevel\test.fst
      出现:
      添加波形:

      出现:
      至此,恭喜你已经将spinalHDL工程的整个RTL代码编写,生成以及仿真流程走过一边。

              

  • 0
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值