UVM糖果爱好者教程 - 2.食谱

虽然上一篇文章澄清了 jelly-bean taster的验证部分,但这篇文章将聚焦在jelly-bean食谱。

jelly-bean食谱作为transaction从jelly_bean_sequencer传递给jelly_bean_driver。该transaction被称为jelly_bean_transaction。该transaction基于其风味,颜色和其他特征来定义jelly_bean。类似的jelly_bean_transaction也从jelly_bean_monitor传递给每个subscriber。

jelly_bean_sequencer不仅产生单个jelly_bean的配方 - 而且它能够产生多个jelly_bean的类似风味,作为一系列transaction,以及以礼品盒的形式收集多种风味的jelly_bean,作为一系列sequences。

transaction和sequence的类图如下所示。该图的颜色对应于上一篇文章中列出的颜色。浅蓝色方框表示UVM基本类库中的类,而较暗的方框表示本教程中创建的类。


                 验证组件类图

                             验证组件框图


  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值