3.硬件抽象层模块HAL

硬件抽象层:向下屏蔽硬件驱动模块的实现细节,向上提供硬件服务。

硬件抽象层的编写规范:

1. 以模块的形式管理各个硬件的访问接口。

2. 模块用结构体 hw_module_t 来描述。

3. 硬件设备用结构体hw_device_t 来描述。

4. 每个模块对应一个动态链接库文件。

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值