自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(21)
  • 收藏
  • 关注

原创 一文解释好 耗尽型和增强型MOSFET、BJT、IGBT

一文解释清楚 耗尽型和增强型MOSFET、BJT(三极管)、IGBT等

2023-06-25 18:32:31 4197

原创 源表的原理以及操作方法和远程控制方法

掌握Keithley2400和2612源表的原理、操作方法和程控方法

2023-06-19 10:43:16 1843

原创 CPU微结构

介绍了CPU微结构的内容提示:这里对文章进行总结:例如:以上就是今天要讲的内容,本文仅仅简单介绍了pandas的使用,而pandas提供了大量能使我们快速便捷地处理数据的函数和方法。

2023-04-25 12:50:49 249

原创 HDL-bits

HDL- bits 记录。

2023-03-28 10:23:29 389

原创 介绍一种自学python的学习方法

python学习从0到1,加油!python基础知识笔记下文均是跳过了 变量类型,基本语法,结构语句,这些在上个链接均有。

2023-03-19 11:39:44 58

原创 计算机体系结构

计算机体系结构

2023-03-13 12:28:41 217

原创 一文学习 AHB ram 验证

开始是黑盒验证,ram就是mem,按照一定地址写进去,再按一定地址读出来。提示:这里对文章进行总结:例如:以上就是今天要讲的内容,本文仅仅简单介绍了pandas的使用,而pandas提供了大量能使我们快速便捷地处理数据的函数和方法。

2023-02-24 10:03:40 1382

原创 UVM 一文全概括

针对MCDF中sv 代码转换成 UVM 代码的比对,理解UVM代码,方便调试。

2023-02-14 08:19:26 4660

原创 为什么要保持子类与父类方法一致(方法名和参数名都要一致)

为什么要保持子类与父类方法一致(方法名和参数名都要一致)直接看图,体会。

2023-02-11 10:15:30 117

原创 SV 中 ‘ . / : / :: ‘的使用总结

总结了 在SV中 ’ . / : / :: '的用法。

2023-02-11 10:07:52 1606

原创 TCL 语言基础

Tcl 语言基础

2023-02-08 23:41:43 5396

原创 SV 12 SV与C语言的接口

SV使用DPI直接编程接口,能更简单地连接C、C++。一旦你声明或者使用 import 语句导入了一个C子程序,可以像调用SV子程序一样调用它,C代码也可以调用SV的子程序。前半部分以数据为中心,后半部分以控制为中心。

2023-01-27 02:04:13 1823 2

原创 SV 9 功能覆盖率

随着各种设计变得越来越复杂,采用给受约束的随机测试方法(CRT)是对他们进行全面验证的唯一有效途径。无论你用的是随机地还是定向的激励,你都要使用覆盖率来度量测试进行的程度。功能覆盖率是用来衡量那些设计特张已经被测试程序测试过的一个指标。从设计规范入手,创建一个验证计划,详细列出要测试什么以及如何进行测试。例如 :如果你的设计与总线相连,那么就需要对设计和总线之间全部可能的的交互方式进行测试,包括相关的设计状态、延时和错误模式。

2023-01-19 16:24:28 821

原创 SV 8 OOP高级

怎样才能位总线事务创建于给可以注入错误并带有可变延时的复杂的类呢?第一种方法:将所有东西放入一个大的、不分层的类,创建和理解简单,开发和调试费时。第二种方法:合成,一个模块例化另一个模块,搭建层次化的测试平台。第三种方法:**和原始类很相像的类,增加了一些新的变量和方法,继承!**允许从一个现存的类得到一个新的类并共享其变量和子程序。提供了可重用性。

2023-01-19 11:21:12 132

原创 SV 7 线程及线程间的通信

测试平台使用许多并发执行的线程。在测试平台的环境里,大多数语句块被模拟成事务处理器,并运行在各自的线程里。SV的调度器就像一个交通警察,总是不停的选择下一个要运行的线程。如果控制了线程,也就控制了你的测试平台。每个线程总是会跟相邻的线程通信。环境需要知道发生器什么时候完成任务,以便及时终止测试中还在运行的线程。

2023-01-16 22:15:35 143

原创 SV 6. 随机化

随着设计变得越来越大,要产生一个完整得激励集来测试设计的功能变得很困难。定向测试集,功能项之间得关系使大多数错误的来源。采用受约束的随机测试法(CRT)自动产生测试集。产生有效的激励,测试感兴趣的功能项。

2023-01-15 08:57:41 920

原创 面向对象编程

结构化编程语言:Verilog和C语言面向对象编程(OOP)能创建复杂的数据类型,并使用这些数据类型的程序紧密结合。用户可以在更加抽象的层次建立测试平台和系统级模型,调用函数来执行一个动作而不是改变信号的电平。使用事务代替信号反转的时候,你就会更高效。而且测试平台和设计细节分开了,他们变得更加可靠,易于维护,在将来的项目中可以重复使用。事务就是测试平台的焦点如果方法过程代码太长,无法在一页内读完整个类或者方法。方法的原型定义放在类的内部,方法的过程代码放在类的后面定义。endclass。

2023-01-10 21:39:41 238

原创 SVA断言

SVA 断言 assertion,非常重要的一环

2023-01-05 22:13:48 634

原创 SV 接口

SV 第四章 SV 接口,读书笔记

2023-01-05 07:07:24 1713

原创 AHB 协议学习

AHB 协议快速入门,简单,方便复习

2022-12-19 21:06:21 3060 1

原创 IC验证之求学路

内容:学习IC 验证的笔记目的:捋顺学习思路,方便自己复习,总结,归纳

2022-12-02 01:41:33 2153 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除