数字电路基础与Quartus-II入门

数字电路基础与Quartus-II入门

嵌入式系统应用第二周作业


复习数字电路基础知识;安装Quartus-II软件和Modsim仿真软件,进行数字电路的仿真与验证。

1. 安装Quartus-II 13 和Modelsim。 可参考:

Quartus II 13.1的安装及使用

Modelsim的安装及使用

原子哥教学视频:

【正点原子】手把手教你学FPGA系列视频- 基于开拓者/新起点平台

2. 在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证;

参考附件1“Quartus-II输入原理图及仿真步骤.docx”。

3. 在 Quartus-II 中直接调用一个D触发器电路,进行仿真,时序波形验证,与2做比较;

4.(本周选做)在 Quartus-II用Verilog语言写一个D触发器,进行仿真验证,与3做比较;


一、安装Quartus-II软件和Modsim仿真软件

1.Quartus II

Quartus II 是Altera公司推出的综合性CPLD/FPGA开发软件,软件支持原理图、VHDL、VerilogHDL以及AHDL(Altera Hardware 支持Description Language)等多种设计输入形式,内嵌自有的综合器以及仿真器,可以完成从设计输入到硬件配置的完整PLD设计流程。

  1. 简介
    Quartus II可以在Windows、Linux以及Unix上使用,除了可以使用Tcl脚本完成设计流程外,提供了完善的用户图形界面设计方式。具有运行速度快,界面统一,功能集中,易学易用等特点。
  2. 功能
    Quartus II提供了完全集成且与电路结构无关的开发包环境,具有数字逻辑设计的全部特性,包括:
  • 可利用原理图、结构框图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件;
  • 芯片(电路)平面布局连线编辑;
  • LogicLock增量设计方法,用户可建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块;
  • 功能强大的逻辑综合工具;
  • 完备的电路功能仿真与时序逻辑仿真工具;
  • 定时/时序分析与关键路径延时分析;
  • 可使用SignalTap II逻辑分析工具进行嵌入式的逻辑分析;
  • 支持软件源文件的添加和创建,并将它们链接起来生成编程文件;
  • 使用组合编译方式可一次完成整体设计流程;
  • 自动定位编译错误;
  • 高效的期间编程与验证工具;
  • 可读入标准的EDIF网表文件、VHDL网表文件和Verilog网表文件;
  • 能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。
  1. 安装教程
    参考
  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值