integer型变量的理解

转载:http://www.cnblogs.com/dangxia/archive/2012/03/10/2389685.html

今天看代码时遇到了integer,只知道这是个整数类型,可详细的内容却一窍不通,查看了资料---《verilog数字VLSI设计教程》。其中是这么写到的:

大多数的矢量类型(reg或者net)都被默认当做无符号数。integer和real是个例外,它们被默认为当做有符号数。通常,real类型是不可综合的。

假设在没有溢出的情况下,不管是无符号数还是有符号数,它们都是二进制的一串数值而已;而当这个值被当做某种类型比较时:又符号数的MSB被用来表示这个数字的符号,而无符号数的MSB则是位权最高的那一位。无论采用什么样的二进制格式,一个无符号数永远也不能成为负值。

下面是一个和符号位有关的例子:

reg[31:0] A;
integer I;
...
A=-1;
I=-1;//both A and I hold 32'hffffffff
//
if(I>32'h0)
    $display("I is positive.")
else $display("I is not positive");//Prints "I is not positive"
if(A>32'h0)
    $display("A is positive");//Prints "A is positive"
else $display("I is not positive");

                                     

==========================================================================================

转载:https://blog.csdn.net/liqiang9410/article/details/76983900 

integer类型也是一种寄存器数据类型,integer类型的变量为有符号数,而reg类型的变量则为无符号数,除非特

别声明为有符号数,还有就是integer的位宽为宿主机的字的位数,但最小为32位,用integer的变量都可以用reg定

义,只是用于计数更方便而已。reg,integer,real,time都是寄存器数据类型,定义在Verilog中用来保存数值的变

量,和实际的硬件电路中的寄存器有区别。

      大多数的矢量类型(reg或者net)都被默认当做无符号数。integer和real是个例外,它们被默认为当做有符号数。

通常,real类型是不可综合的。

=========================================================================================

总结:integer型也是寄存器的一种,与reg型相比是符号数。位数为宿主机的字的位数,最小32位(故可不定义位数)

所以有例子:

module Voter7(
input     [6:0] voter;
output    reg   pass
);

reg [2:0] sum;
integer i;//定义符号数i,并未定义位数
always@(vote)begin
    sum = 0;
    for(i = 0;i <= 6;i = i + 1)
        if(vote[i])
            sum = sum + 1;
        else
            sum = sum
        if(sum[2])
            pass = 1;
        else
            pass = 0;
end
endmoudle
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值