Verilog中定义存储器

reg [7:0] mem[0:255];

Verilog中含有用户自己定义存储区的语句,如上述语句,定义的意思为

reg [7:0] mem[0];
reg [7:0] mem[1];
reg [7:0] mem[2];
reg [7:0] mem[3];
        :
        :
        :
reg [7:0] mem[255];

定义了256个8位的寄存器型数据。

但是RAM和reg型式有不同的。FPGA里面的RAM分成Block RAM和Distributed RAM,Block RAM是嵌入的RAM块,例如M9K,M4K;Distributed RAM是分布式RAM,即用FPGA里的寄存器和查找表构成。也就是说RAM可以由reg构成,但是RAM不能直接当寄存器组用。比如你设一个reg[7:0] mem[0:255],在一个时钟里你可以直接访问所有的数据,但是RAM里面你一次只能访问一个地址的数据。还有RAM一般数据宽度不能设置太大,很消耗资源。

评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值