数字验证--断言assert

断言是什么?

断言是对设计违例的一种严查,能够在违例时立刻报出错误。

为什么使用断言,断言的优势又有那些呢?

1.断言能够缩短你的开发时间,断言的代码是比较简单的,相比systemverilog能够很好的处理信号的电平和边沿变化的检测。如systemverilog要想实现时钟上升沿时如果FRAME_也是上升沿则2个时钟后LDP_是下降沿有需要开发下图的代码,但是使用断言则非常简单,


  
  
  1. property ldpcheck;
  2.   @(posedge clk) $rose(FRAME_) |-> ##2 $fell(LDP_) ;
  3. endproperty
  4. assert property (ldpcheck) ;

2.断言是可以观测的,能够通过断言直接看到是哪里的bug;

3.断言能够提供覆盖率收集;

还有很多优点如断言支持CDC(clock domain crossing)、可重用性好、断言在验证和设计中是一直处于检测状态的等。

断言需要添加在哪里?

1.rtl设计中,内部module,如非法状态转换、死锁、fifo等

2.module接口intrerace中,内部模型接口中,如在req是0时ack不能是1。

3.芯片功能断言

4.用来检测芯片接口违例的断言,如独立的PCIX和AXI接口等

5.用来性能的断言,如读响应的最大时延不能超过5个clk;

断言的类型

1.立即断言(不支持formal 验证)

用来检测待测设计的信号值,可以理解为设计中的if。

2.并发断言

存在edge采样的检测信号的断言

 

立即断言

立即断言是一个程序块中的简单信号状态检测,可以直接理解为条件判定if。下面是一个简单的状态检测,通过assert检测b或c,条件成立打印时间和assert passed

 

 并发断言

一个简单的并发断言的例子,在clk上升沿时,cStart发生,则触发断言检测,req和cStat同时发生并且2个clk后gnt发生。

 

其中cStat为antecedent,|->为implication operator,str1为consequent 

inplication operator分为overlapping operattor(|->)和non-overlapping operattor(|=>),分别就是overlapping operattor是consequent 要和antecedent同时发生,而non-overlapping operattor是consequent 要在antecedent下一个采样时钟发生,其实cStart |-> ##1 (req ##2 gnt) 等效于cStart |=>  (req ##2 gnt)

 

 

 并发断言中常用的表达式和语法

operatordescription
$rose上升沿检测,从0或x或z变为1
$fell下降沿检测,从1或x或z变为0
$stable检测当前边沿采样状态和上一边沿采样状态没有发生变化
$past追溯过去任意时钟
$onehot用于检测表达式中只有1bit为1其他bit为0或高阻或X态
$onehot0检测表达式中所有bit都为0或只有1bit为1
$isunknown检测信号为高阻态或者X态
$countones统计表达式中bit为1的个数
$asserton开启断言
$assertoff关闭不处于活动状态下断言
$assertkill杀死所有断言

 

 

$rose

$rose,如下面使用在clk上升沿时intr存在从0或x态或高阻态变为1的过程,也就是在clk上一个上升沿时intr是0或x态或高阻态,在当前clk上升沿时是1,这时就满足$rose的边沿检测属性了。

$fell

$fell,$fell和$rose是相似的,只不过是用来检测下降沿的,在clk上升沿时req存在从1或x态或高阻态变为0的过程,也就是在clk上一个上升沿时req是1或x态或高阻态,在当前clk上升沿时是0,这时就满足$fell的边沿检测属性了。

正好截图中把$isunknown()带上了,这里解释下$isunknown(),是检测信号为高阻态或为X态,加上not,即判定的结果相反,$fell(we_) |-> not ($isunknown(wData))意思是,在we_出现下降沿时 wData为0或1,不能是高阻态或者X态的检测。

 

$stable

$stable,检测当前时钟边沿的状态和上一时钟边沿的状态是一致的不发生改变则通过。

 

$past

$past,它可以让你追溯过去任意时钟,然后您可以检查'表达式1'是否具有特定的值,在以前的时钟数(严格地说是在时间点之前)。请注意,时钟的数量是可选的。如果你没有指定它,默认情况下是在过去的一个时钟查找'表达式1'。

需要注意的另一个警告是当你在仿真的初始时间刻度中调用$past时,没有足够的时钟去'过去'。例如,您可以指定'a |  - > $ past(b)',并且在时间'0'前提'a'为真。过去并没有时钟。在这种情况下,断言将使用'b'的'初始'值。 'b'的初始值是多少?它不是'初始'块中的那个,它是变量'b'被声明的值(如'logic b = 1'b1;')。在我们的例子中,如果'b'在其声明中未被初始化,断言将失败。如果声明初始值为1'b1,则断言将通过.

 

 $onehot

$onehot用于检测表达式中只有1bit为1其他bit为0或高阻或X态不关心。

$onehot0用于检测表达式中所有bit都为0或只有1bit为1。

 

 

$isunknown

检测出高阻态Z或者是X态。

 

 

$countones

统计表达式中每bit是1的个数。

 

$asserton

开启断言

 

$assertoff

关闭断言,处于活动状态下的断言不关闭。

 

$assertkill

 杀死所有的断言。

 

 

 

 

operatordescription
##m延迟m个采样时钟
##[m:n]延迟m到n个采样时钟
[*m]重复m次,不连续
[*m:n]重复m到n次,不连续
[=m]重复m次,连续
[=m:n]重复m到n次,连续
[->m]到达m次,不连续
[->m:n]到达m到n次,不连续
sig1 througnout seq1在seq1整个中sig1要为真true
seq1 within seq2seq1一定要包含在seq2中
seq1 intersect seq2

seq1和seq2要同时开始同时结束

seq1 and seq2seq1和seq2要同时开始,
seq1 or seq2seq1或者seq2任意一个succeeds就succeeds
first match第一次匹配
not表达式结果取反
if  else 

 

##m

延迟m个采样时钟,m可以是0或0以上的正整数

 

 

##[m:n]

延迟m到n之间的任意一个采样时钟都可,m可为0或任意正整数,n可为0或任意正整数也可直接用$表示极大值。

 

 

 

[*m]

重复m次出现,a ##1 b[*2]等效于 啊 ##1 b ##1 b,m为0或0以上正整数但不能为极大值$

 

 

 

 [*m:n]

重复m到n之间的任意数次均可

 

[=m]

 b[=m] ##1 c  表示b进行m次,间隔不连续个采样时钟周期,c在b最后一次发生后最小间隔一个时钟后发生。

 

[=m:n]

b[=m:n] ##1 c  表示b进行m到n之间任意数次,间隔不连续个采样时钟周期,c在b最后一次发生后最小间隔一个时钟后发生。

 

在特殊情况下如果m=0,n=$,结果为下图,b可不发生,b也可和c同事发生

 

[->m]

b [->m] ##1 c  表示b进行m次,间隔不连续个采样时钟周期,c在b最后一次发生后间隔一个时钟后发生。

 

 

 

[->m:n]

b[=m:n] ##1 c  表示b进行m到n之间任意数次,间隔不连续个采样时钟周期,c在b最后一次发生后间隔一个时钟后发生。

 

[=m]和[->m]、[=m:n]和 [->m:n]之间的区别

区别在于在使用[=m]、[->m]、[=m:n]、 [->m:n]后如果接一个##1 c,则c是在最小间隔一个时钟还是正好间隔一个时钟周期上。

 

 

sig1 throughout seq1

在sig1匹配的时间内seq1一定是匹配的。

 

seq1 within seq2

seq1一定发生的比seq2晚,结束的seq2早。处于seq2满足的内部。

 

 seq1 and seq2

seq1和seq2是同时发生的,在seq1和seq2全通过时断言才是通过。

 

seq1 or seq2

seq1和seq2同时发生,只要seq1和seq2中的一个通过,则断言通过。

 

seq1 intersect seq2

seq1和seq2一定是同时开始同时结束的,存在不匹配则断言失败。

 

 

first_match

第一次匹配事件。下图的断言为d发生后第一次发生bc事件的下一个时钟周期a是上升沿则断言通过。

 

not

对发生的事件结果取反。

 

if  else

条件判定,用法和systemverilog相同。

 验证人员如何对dut内部信号进行断言验证

1.可使用层级关系 . 的方法引用dut的内部信号,如要想使用if_stage_i内部的instr_rvalid_i信号可以通过dut_wrap.cv32e40p_wrapper_i.core_i.if_stage_i.instr_rvalid_i,(不推荐,编译存在层级关系而且一旦例化位置变化,验证平台代码也需作出调整)

2.定义一个断言module,将dut的模块和断言module绑定,关键字为bind,其中

cv32e40p_wrapper :是dut中的模块名
uvma_obi_assert :是验证平台中的断言模块名

obi_data_assert_i :是断言模块的例化名,


  
  
  1. bind cv32e40p_wrapper
  2. uvma_obi_assert #(
  3. .ADDR_WIDTH( 32),
  4. .DATA_WIDTH( 32)
  5. ) obi_data_assert_i(.clk(clk_i),
  6. .reset_n(rst_ni),
  7. .re q(data_req_o),
  8. .gnt(data_gnt_i),
  9. .addr(data_addr_o),
  10. .be(data_be_o),
  11. .we(data_we_o),
  12. .wdata(data_wdata_o),
  13. .rdata(data_rdata_i),
  14. .rvalid(data_rvalid_i),
  15. .rready( 1 'b1)
  16. );

断言模块使用范例


  
  
  1. module uvma_obi_assert
  2. import uvm_pkg::*;
  3. #(
  4. parameter int ADDR_WIDTH= 32,
  5. parameter int DATA_WIDTH= 32
  6. )
  7. (
  8. input clk,
  9. input reset_n,
  10. input req,
  11. input gnt,
  12. input [ADDR_WIDTH- 1: 0] addr,
  13. input we,
  14. input [DATA_WIDTH/ 8- 1: 0] be,
  15. input [DATA_WIDTH- 1: 0] wdata,
  16. input [DATA_WIDTH- 1: 0] rdata,
  17. input rvalid,
  18. input rready
  19. );
  20. // ---------------------------------------------------------------------------
  21. // Local variables
  22. // ---------------------------------------------------------------------------
  23. string info_tag = "CV32E40P_OBI_ASSERT";
  24. // ---------------------------------------------------------------------------
  25. // Clocking blocks
  26. // ---------------------------------------------------------------------------
  27. // Single clock, single reset design, use default clocking
  28. default clocking @(posedge clk); endclocking
  29. default disable iff !(reset_n);
  30. // ---------------------------------------------------------------------------
  31. // Begin module code
  32. // ---------------------------------------------------------------------------
  33. // R-3.1.1 : Address phase signals stable during address phase
  34. property p_addr_signal_stable(sig);
  35. req ## 0 !gnt |=> $ stable(sig);
  36. endproperty : p_addr_signal_stable
  37. a_addr_stable: assert property( p_addr_signal_stable(addr))
  38. else
  39. ` uvm_error(info_tag, "addr signal not stable in address phase")
  40. a_we_stable: assert property( p_addr_signal_stable(we))
  41. else
  42. ` uvm_error(info_tag, "we signal not stable in address phase")
  43. a_wdata_stable: assert property( p_addr_signal_stable(wdata))
  44. else
  45. ` uvm_error(info_tag, "wdata signal not stable in address phase")
  46. a_be_stable: assert property( p_addr_signal_stable(be))
  47. else
  48. ` uvm_error(info_tag, "be signal not stable in address phase")
  49. // R-3.1.2 : Req may not deassewrt until the gnt is asserted
  50. property p_req_until_gnt;
  51. req ## 0 !gnt |=> req;
  52. endproperty : p_req_until_gnt
  53. a_req_until_gnt : assert property(p_req_until_gnt)
  54. else
  55. ` uvm_error(info_tag, "req may not deassert until gnt asserted")
  56. // R-7 At least one byte enable must be set
  57. property p_be_not_zero;
  58. req | -> be != 0;
  59. endproperty : p_be_not_zero
  60. a_be_not_zero : assert property(p_be_not_zero)
  61. else
  62. ` uvm_error(info_tag, "be was zero during an address cycle")
  63. // R-7 All ones must be contiguous in writes
  64. reg[ 3: 0] contiguous_be[] = {
  65. 4 'b0001,
  66. 4 'b0011,
  67. 4 'b0111,
  68. 4 'b1111,
  69. 4 'b0010,
  70. 4 'b0110,
  71. 4 'b1110,
  72. 4 'b0100,
  73. 4 'b1100,
  74. 4 'b1000
  75. };
  76. bit be_inside_contiguous_be;
  77. always_comb begin
  78. be_inside_contiguous_be = be inside {contiguous_be};
  79. end
  80. property p_be_contiguous;
  81. req | -> be_inside_contiguous_be;
  82. endproperty : p_be_contiguous
  83. a_be_contiguous : assert property(p_be_contiguous)
  84. else
  85. ` uvm_error(info_tag, $ sformatf( "be of 0x%0x was not contiguous", $ sampled(be)));
  86. // R-8 Data address LSBs must be consistent with byte enables on writes
  87. function bit [ 1: 0] get_addr_lsb(bit[ 3: 0] be);
  88. casex (be)
  89. 4 'b??? 1: return 0;
  90. 4 'b?? 10: return 1;
  91. 4 'b? 100: return 2;
  92. 4 'b1000: return 3;
  93. endcase
  94. endfunction : get_addr_lsb
  95. property p_addr_be_consistent;
  96. req | -> addr[ 1: 0] == get_addr_lsb(be);
  97. endproperty : p_addr_be_consistent
  98. a_addr_be_consistent: assert property(p_addr_be_consistent)
  99. else
  100. ` uvm_error(info_tag, $ sformatf( "be of 0x%01x not consistent with addr 0x%08x", $ sampled(be), $ sampled(addr)));
  101. // Cover that grant is asserted when unrequested
  102. property p_unrequested_gnt;
  103. !req ## 0 gnt;
  104. endproperty : p_unrequested_gnt
  105. c_unrequested_gnt: cover property(p_unrequested_gnt);
  106. endmodule : uvma_obi_assert

 

  • 3
    点赞
  • 23
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值