uvm中virtual如何使用

概述

virtual 是OOP中的一种关键字,主要体现的是多态。虚函数是指一个类中你希望重载的成员函数 ,当你用一个基类指针或引用指向一个继承类对象的时候,调用一个虚函数时, 实际调用的是继承类的版本。整理了uvm中使用virtual常用的四种情况:

  1. virtual interface;
  2. virtual class;
  3. virtual sequence和virtual sequencer;
  4. virtual function和virtual task.

virtual interface

下面图片中my_if是interface类型,在类中对interface例化,如果不使用virtual,则如下面右图所示,直接例化,所有my_if例化的interface值都会发生改变,使用了virtual例化,则每个例化是独立的,改变的只是当前的vif接口的值。

              

 

virtual class

虚类只用来定义类的格式, 和成员的名字, 参数, 不能被实例化, 只能被重载, 用于在项目中定义一些标准的类, 例如driver类, 这样大家extend出来的driver的基本的格式都是一样的, 易读易理解. 在virtual class里的方法最好使用 pure virtual 修饰, 叫做纯虚方法, 也是用来定义方法的名字和参数列表的, 方便大家统一名字。对于virtual class 必须使用 extends class来进行实体化才可以使用,OOP规定,只要class中存在一个没有实现的pure function,就不允许例化这个class …
 

virtual function 和 virtual task

当在父类中定义一个函数/任务时, 如果将其设置为virtual类型, 那么就可以在子类中重载这个函数/任务。

例如在bird类中定义了两个函数hungry和hungry2,hungry使用virtual类型定义,parrot是bird的子类,子类中也定义了hungry和hungry2函数,这时子类中的hungry函数会重载父类的hungry,而hungry2不是使用virtual定义的,不会发生重载。重载的最大优势是使得一个子类的指针以父类的类型传递时, 其表现出的行为依然是子类的行为。

避免在uvm中出错的最好方式是,如果该class不会被继承,则所有的function/task都不需要加virtual。如果该class会被继承,则用户定义的function/task(除new()/randomized()/per_randomize()/pose_randomize()以外),都应该加上virtual。

            

 

virtual sequence 和 virtual sequencer

在多个sequence向不同的drive发送数据时,并且这些sequence还存在复杂的同步关系,这时使用virtual sequencer和virtual sequence是非常方便的。下面是sequence发送的三种情况,single drive发送,mult drive但不同的sequence不存在同步关系,这种无需使用virtual sequencer,第三种是mult drive而且发送的sequence还存在同步关系,使用virtual sequencer将是非常方便必要的。

下面是使用virtual sequencer和不适用virtual sequencer的对比,如果不适用virtual sequencer 时还需保证drv0_seq和drv1_seq的发送存在同步关系则只能通过定义全局事件的方式来进行同步,并且这种还只能同步一次,如果是存在复杂的同步关系,就需要定义多个全局事件才能完成,这是非常不符合uvm的思想的。

具体使用virtual sequencer 的方法如下面:

 

参考:https://blog.csdn.net/liujingyu_1205/article/details/81563010

 

 

  • 10
    点赞
  • 44
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
UVM virtual 关键字通常用于声明虚拟成员函数或虚拟变量。虚拟函数是指在基类声明的函数,可以在派生类进行重写以实现多态性。虚拟变量也是类似的,可以在派生类进行重写,从而实现不同的行为。 例如,假设我们有一个基类 `my_base_class`,其包含一个虚拟函数 `virtual_task my_task()`,我们可以在派生类重写该函数以实现不同的行为。例如: ``` class my_derived_class extends my_base_class; `uvm_component_param_utils(my_derived_class) virtual_task my_task(); endclass function my_derived_class::my_task(); // 在这里实现自己的行为 endfunction ``` 需要注意的是,虚拟函数和虚拟变量必须在类的声明声明为虚拟的,才能在派生类进行重写。另外,UVM 还提供了纯虚拟函数和纯虚拟变量,这些函数和变量没有在基类实现,并且必须在派生类进行实现,否则编译器会报错。例如: ``` class my_base_class; `uvm_component_param_utils(my_base_class) virtual pure function void my_function(); virtual pure int my_variable; endclass ``` 在派生类,我们必须实现这些纯虚拟函数和变量: ``` class my_derived_class extends my_base_class; `uvm_component_param_utils(my_derived_class) virtual function void my_function(); // 在这里实现自己的行为 endfunction virtual int my_variable; endclass ``` 总之,虚拟关键字用于实现多态性和动态绑定,是面向对象编程非常重要的概念。
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值