【温故而知新】【4】Verilog序列检测

本文回顾了使用Verilog设计序列检测状态机的方法,重点在于三段式描述法:状态转移、状态变换条件和输出逻辑。示例代码展示了一个检测序列'00100111'的状态机,并提供了测试向量及XILINX ISIM仿真波形图。
摘要由CSDN通过智能技术生成

【温故而知新】【4】Verilog序列检测


seuchenrui@126.com

11/21/2015 2:21:04 PM

本次博客的内容是回顾状态机的的编写。状态机的经典描述方式为三段式描述。这三段分别为:

状态转移(时序逻辑)–> 状态变换条件(组合逻辑)–> 输出逻辑(组合逻辑或者时序逻辑)。

下文为一个序列检测的状态机代码,可持续检测序列“00100111”。

代码:

`timescale 1ns / 1ns
//
// Company: 
// Engineer:  
//      seuchenrui@126.com
// Create Date:09:31:28 11/21/2015 
// Design Name: 
// Module Name:sequence_00100111 
// Project Name: 
// Target Devices: 
// Tool versions: 
// Description: 
//  this is the module for check sequence "00100111"
// Dependencies: 
//
// Revision: 
// Revision 0.01 - File Created
// Usage of asynchronous resets may negatively impact FPGA resources
// and timing. In general faster and smaller FPGA designs will
// result from not using asynchronous resets. Please refer to
// the Synthesis and Simulation Des
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值