Chisel Tutorial(一)——Chisel介绍

Chisel是由伯克利大学发布的一种开源硬件构建语言,建立在Scala语言之上,是Scala特定领域语言的一个应用,具有高度参数化的生成器(highly parameterized generators),可以支持高级硬件设计。


其特点如下,部分特点找不到合适的中文表述,暂时没有翻译,哪位童靴有合适的翻译可以及时说说啊。

  • Hardware construction language (not C to Gates):硬件构建语言
  • Embedded in the Scala programming language:内嵌于Scale程序设计语言
  • Algebraic construction and wiring
  • Abstract data types and interfaces:抽象的数据类型和接口
  • Bulk connections:端口的批量连接
  • Hierarchical + object oriented + functional construction:分层+面向对象+函数构建
  • Highly parameterizable using metaprogramming in Scala:通过使用Scala的元编程实现高度的参数化
  • Supports layering of domain specific languages
  • Sizeable standard library including floating-point units:具有包含浮点单元在内的、可以调整大小的标准库
  • Multiple clock domains:支持多时钟域
  • <
  • 1
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值