Chisel实验笔记(二)

在上一篇《Chisel实验笔记(一)》中,我们的到了对应的verilog文件,本文将采用Icarus Verilog + GtkWave进行仿真验证。

实验平台还是Ubuntu14.04(64位)

1、安装Icarus Verilog-0.9.7

首先输入如下命令:

sudo apt-get install gperf
sudo apt-get install flex
sudo apt-get install bison
sudo apt-get install build-essential

然后安装zlib,下载地址http://www.zlib.net/,解压缩后,使用终端进入所在目录,输入如下命令安装:

./configure
make 
sudo make install
如果没有安装zlib,那么在执行vvp -n test -lxt2的时候,会出现如下错误:
LXT2 support disabled since zlib not available

最后下载Icarus Verilog-0.9.7,使用终端进入所在目录,输入如下命令安装:

./configure
make
sudo make install

2、安装GtkWave3.3

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 3
    评论
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值