从零开始,搭建zynq-7000的PS硬件平台--USB端口

<原创,转载请注明出处:http://blog.csdn.net/morewd,我在csdn上建的blog,希望把在xilinx zynq上移植android的过程记录下来,欢迎大家讨论>

 

主机环境:ubuntu10.04lts X64_64bit,root

开发工具:planAhead

参考资料:Zynq-700EPP CTT(UG873)

         ZedBoard(ZynqTM Evaluation and Development Hardware User’sGuide)

 

  ZedBoard上有3个USB接口,分别是USB-OTG,USB-UART bridge,USB-JTAG。

1,USB-OTG

  OTG技术可以让不同设备间传输数据更容易,整个协议还是有些复杂,不过我们简单的理解成OTG=Host+Slave。Zedboard上使用了TI 的USB1210来实现这个功能。ZedBoard 硬件手册上的引脚定义如图1所示:


图1,USB-OTG的引脚定义

  从图1上可以看出OTG一共需要8根数据线OTG_Data[7:0],硬件手册上的文档可能是笔误,与原理图上不一样,写成了[8:0],从TUSB1210看过去的其他信号的说明:

CLOCK:输入,zynq输出的USB参考时钟,提供给TUSB1210

NXT:输出,CMOS  PHY通过NXT来控制数据,当链路层发送数据到物理层时,NXT表明物理层已经接收到字节,那么链路层会下一个时钟周期把下一个字节放置到数据总线。

DIR:输入输出,CMOS  控制数据总线的方向,当物理层有数据传送到链路层时,它驱动DIR高占据数据总线。当物理层没有数据传送时,它使DIR低并且显示来自链路层的总线命令。

STP:输入,CMOS  链路层通过STP用一个时钟周期去停止数据流突然出现在总线上。如果链路层发送数据给物理层,STP表明前一个周期已经有最后一个数据字节在总线上了。

RESET_B:输入,TUSB1210的复位。连接到了Zynq的Bank35上,硬件手册又笔误了…⊙﹏⊙b汗

VBUS_OC:这个是Zynq用于控制USB接口供电的开关

   通过上面的分析,我们发现实际上配置的时候,只要配置图1中前5行就够了,共设计zynq的12个MIO,根据实际设计,在“Zynq PS MIO Configrations“中选择USB0,见图2

 

图2,USB0 配置

 

2,USB UART Bridge

  USB接口的UART,实际就是UART的TTL输出通过USB通信而已,协议转换使用专用ICCY7C64225来做的,在Zynq上就是单纯UART,因此配置的时候直接选择UART 1,即MIO[48..49],如图3所示:


图3,添加UART

 

Zynq的MIO电平标准时1.8V,CY7C64225是用的3.3V,因此二者需要电平转换芯片,这个是板级系统设计中要注意的。

 

3,USB-JTAG

不用配置,直接使用,就像用Xilinx的USB cable一样…

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
Zynq-7000是由Xilinx公司生产的一款集成了ARM处理器和可编程逻辑(PL)的片上系统(SoC)。它的可编程逻辑部分可以通过重新配置(Reconfigure)来进行定制和优化。 Zynq-7000的可编程逻辑部分由一系列可编程逻辑单元(PLU)组成,可以通过Vivado开发环境进行配置和定制。重配置PL意味着可以改变PL中的逻辑电路,以满足不同的需求。 重配置PL的过程大致分为三个步骤。首先,需要使用Vivado开发环境创建和配置逻辑电路。这可以通过使用硬件描述语言(如Verilog或VHDL)来实现,也可以通过使用硬件抽象层次语言(如C、C++或OpenCL)来实现。 其次,需要将配置好的逻辑电路编译成比特流(Bitstream)。比特流是一种描述逻辑电路的中间文件,可以被FPGA芯片理解和加载。 最后,将生成的比特流加载Zynq-7000的可编程逻辑部分。这可以通过JTAG接口或SD卡等方式实现。一旦比特流被加载Zynq-7000的可编程逻辑部分就被重新配置为新的逻辑电路。 通过重配置PL,可以实现许多应用场景,例如加速计算、优化算法、嵌入式系统的定制等。它提供了一种便捷的方式来优化和个性化Zynq-7000的使用。 总的来说,Zynq-7000的可编程逻辑部分可以通过Vivado开发环境进行重新配置,以满足不同的需求。这种重配置的过程包括创建和配置逻辑电路、生成比特流、加载比特流到Zynq-7000,并可以应用于各种应用场景。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值