自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

mowayao的专栏

为了再一次的遇见

  • 博客(10)
  • 收藏
  • 关注

原创 UVa10599 Robots(II)

Problem KRobots(II)Time Limit1 Second Your company provides robots that can be used to pick up litter from fields after sporting events and concerts. Before robots ar

2014-01-29 18:42:38 937

原创 UVa348 - Optimal Array Multiplication Sequence

Optimal Array Multiplication Sequence Given two arrays A and B, we can determine the array C = A B using the standard definition of matrix multiplication:The number of columns in the

2014-01-28 16:36:42 713

原创 UVa882 The Mailbox Manufacturers Problem

The Mailbox Manufacturers Problem In the good old days when Swedish children were still allowed to blow up their fingers with fire-crackers, gangs of excited kids would plague certain smaller citi

2014-01-26 15:25:12 1099

原创 UVa11285 - Exchange Rates

Problem A: Exchange RatesNow that the Loonie is hovering about par with the Greenback, you have decided to use your $1000 entrance scholarship to engage in currency speculation. So you gaze into a

2014-01-26 00:04:06 1399

原创 UVa11400 Lighting System Design

Lighting System DesignInput: Standard InputOutput: Standard Output You are given the task to design a lighting system for a huge conference hall. After doing a lot of calculation & sketching,

2014-01-25 18:42:45 1197

原创 UVa10665 Diatribe against Pigeonholes

Diatribe against Pigeonholes BackgroundIn an anonymous town there is a carpenter, the only one in many kilometres, specialized in making pieces of furniture (and famous for being a bit s

2014-01-25 13:40:17 880

原创 UVa11341 Term Strategy

B - Term StrategyTime Limit: 1 sec Memory Limit: 16MBStudent Peter was playing billiard all the term and for that reason he missed all his lectures. Unfortunately that's the time for term ex

2014-01-23 12:07:53 856

原创 UVa11125Arrange Some Marbles

Problem HArrange Some MarblesInput: Standard InputOutput: Standard Output you are given some marbles of n different color. You have to arrange these marbles in a line. The marbles adjacent w

2014-01-20 16:35:58 844

原创 UVa10654The Uxuhul Voting System

Problem DThe Uxuhul Voting SystemInput: standard inputOutput: standard outputTime Limit: 1 second One of the world's first civilizations was that of the ancient Uxuhul indians, in the jung

2014-01-20 12:48:58 1078

原创 寒假待刷题

UVa 348UVa 10599UVa 11125UVa 10654UVa 882UVa 10712UVa 11126UVa 11285UVA, 11341UVA, 11370UVA, 11372UVA, 11394UVA, 11400UVA, 11420UVA, 11421UVA, 11432UVA,

2014-01-19 01:33:17 896

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除