I2C Verilog的实现(二)

1. 起始结束信号的判断
//---------------------------------------------
//start,stop condition judgement
//---------------------------------------------
wire start, stop;
reg sda1, sda2;
reg sda11;

always @ ( posedge SCL )   //触发器1
sda1 <= SDA;

always @ ( negedge SCL )   //触发器2
sda2 <= SDA;

always @ ( negedge SCL )   //触发器3
sda11 <= sda1;


assign start = sda11 & (!sda2);
assign stop = sda2 & ( !sda11 );

判断的想法:当SDA上传输正常的数据信号时,只有在SCL低电平时SDA发生电平变化,所以正常的数据信号电平保持时间是SCL周期的整数倍,即1bit数据信号的SDA电平用SCL的上升沿和下降沿采集得到的是相同的电平;而起始和结束信号是在SCL的高电平时刻发生变化,即在一个SCL周期内,SCL的上升沿和下降沿将采集到不同的SDA电平。基于此特点,采用下面的触发器方法,来判断起始和结束信号。

分别用SCL的上升沿和下降沿做触发器出发信号,去采集SDA信号,如果是SDA上是数据信号,触发器的输出将是SDA的延迟。用触发器1来说,如果SDA上是数据信号则得到的sda1信号波形与SDA波形一样,只是时间上比SDA延迟四分之一个SCL周期。相同用SCL下降沿采集的触发器2输出sda2的波形,是延迟四分之三个SCL周期的SDA波形。再用触发器3将sda1延迟二分之一个SCL周期后,得到的输出sda11波形将和sda2的波形完全重合。

这时用sda11与sda2比较,如果SDA传的是数据信号,则sda11与sda2的波形将完全一样,但在SDA有起始或结束信号的位置,sda11的波形和sda2的波形会不一样,根据不同的与非组合,就可以得到start和stop信号。

2. 设置计数器

//----------------------------------------------
//count setting
//----------------------------------------------
reg [3:0]  bitcont;
wire bit_ack = bitcont[3];

always @ ( posedge SCL or posedge start)
begin
    if ( start )
    bitcont <=  4'h6;
    else
    begin
        if (bit_ack)
        bitcont <= 4'h6;
        else
        bitcont <= bitcont -4'h1;
    end
end

3. Match地址

//----------------------------------------
//address match
//----------------------------------------

reg addr_match, op_read;

always @ ( negedge SCL or posedge start )
begin
    if ( start )
    begin
        addr_match <= 1'h1;
        op_read <= 1'h0;
    end
    else
    begin
        if( (bitcont == 6) & (sdar != I2C_ADR[6])) addr_match <= 1'h0;
        if( (bitcont == 5) & (sdar != I2C_ADR[5])) addr_match <= 1'h0;
        if( (bitcont == 4) & (sdar != I2C_ADR[4])) addr_match <= 1'h0;
        if( (bitcont == 3) & (sdar != I2C_ADR[3])) addr_match <= 1'h0;
        if( (bitcont == 2) & (sdar != I2C_ADR[2])) addr_match <= 1'h0;
        if( (bitcont == 1) & (sdar != I2C_ADR[1])) addr_match <= 1'h0;
        if( (bitcont == 0) & (sdar != I2C_ADR[0])) addr_match <= 1'h0;
        if( bitcont == 0 ) op_read <= sdar;
    end
end
4. 发送ACK

//send ack
//-----------------------------------------------------------------------

reg ack_assert;

always @ ( negedge SCL )
begin
    if ( bit_ack & addr_match & op_read )
    ack_assert <= 1'h1;
    else
    ack_assert <= 1'h0;
end

//-------------------------------------------------------------------------
//control SDA line
//-------------------------------------------------------------------------

assign SDA = ack_assert ? 1'h0 : 1'hz;
pullup ( SDA );

总结:

在实际应用中,此程序有局限性,这里假设I2C起始信号和结束信号的变化超过一个SCL高电平时间,如果起始信号或结束信号,以一个拉低脉冲或拉高脉冲的的形式出现,用SCL的上升沿和下降沿是采不到SDA的变化的。


  • 4
    点赞
  • 32
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
I2C总线(Inter-Integrated Circuit Bus)是一种串行通信协议,用于连接微控制器和外部设备,如传感器、存储器和其他外围设备。使用Verilog语言实现I2C总线通信需要以下步骤: 1. 首先,根据I2C总线的规格制定Verilog模块。根据I2C规范,定义模块的输入和输出信号,包括时钟信号(SCL),数据信号(SDA)和其他控制信号。 2. 确定I2C总线的时序。根据I2C规范,定义时钟信号和数据信号的传输速率以及数据传输的起始、停止和数据位的格式。 3. 实现I2C总线的控制逻辑。使用Verilog语言编写控制模块,根据时序要求生成适当的控制信号,如起始信号、停止信号、读写信号以及ACK(应答)信号。 4. 实现I2C总线的状态机。根据I2C总线的协议规范编写状态机,以确保正确的数据传输和通信序列。状态机可以用有限状态机(FSM)的形式实现。 5. 实现I2C总线的数据传输。编写适当的代码,根据I2C规范中的传输顺序和协议,将数据从发送方传输到接收方,并确保数据的完整性和准确性。 6. 仿真和验证。使用仿真工具,如ModelSim,对Verilog代码进行仿真和验证,以确保I2C总线的正常工作和正确性。 通过以上步骤,可以使用Verilog语言实现I2C总线,并用于连接微控制器和外设设备之间的通信。实现I2C总线可以支持数据传输、控制信号和时序要求,并且可以确保数据的完整性和可靠性。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值