自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(20)
  • 收藏
  • 关注

原创 关于卡尔曼滤波的理解过程和一点疑惑

问题1:这个matlab代码让我觉得有一点不对的就是观测值的数据我觉得不能按照他这种算法算,不然观测值会越来越大越来越离谱答1:可以这样子算,因此我们在计算X(t)的值时,采用的是X(t-1)是一个实际的值实际值产生的时候会伴随着过程噪声,也就是方差Q代表的噪声。X(:,t) = A*X(:,t-1) + B*u + sqrtm(Q)*randn(4,1);之后测量值就是实际值经过矩阵转换,再加上观测噪声的值问题2:为什么在计算观测值的时候会有一个过程噪声,但是在卡尔曼公式中的预测状态值时,

2022-02-28 10:34:45 869 1

原创 freetype显示一行文字

直接上代码:#include <sys/mman.h>#include <sys/types.h>#include <sys/stat.h>#include <unistd.h>#include <linux/fb.h>#include <fcntl.h>#include <stdio.h>#include <string.h>#include <math.h>#include

2021-10-10 17:32:50 440

原创 matlab 4FSK的解调

目的:为了解调上一篇博客调试后的信号,将传输的FSK信号通过混频和滤波,在将信号经过判决比较得到调制基带信号,之后再用整数矩阵的方式表示出来信号。先放下只有解调部分的代码,本次代码也是针对我写的4FSK调制的matlab代码,链接如下:(1条消息) matlab 4FSK调制_tpf55555的博客-CSDN博客https://blog.csdn.net/tpf55555/article/details/120592622这篇文章的最下面会放全部的代码解调代码(注意解调部分的代码和调制部分的代码

2021-10-10 09:46:40 4515 4

原创 leetcode-初级算法-数组

题目2:买卖股票的最佳时机II力扣https://leetcode-cn.com/leetbook/read/top-interview-questions-easy/x2zsx1/解法1:贪心算法(我是看别人这么写的)int maxProfit(int* prices, int pricesSize){ int money = 0; for(int i = 1, j = 0; i < pricesSize; i++) { if(prices[j] < prices[i])

2021-10-07 19:52:47 155

原创 matlab 4FSK调制

目的:为了实现字符串传输% % %4FSK调制过程f1 = 1;f2 = 2;f3 = 3;f4 = 4;Fs=100;t = 0:2*pi/100:2*pi-2*pi/100;%一个m1 = [];%采样信号c1 = [];%b1 = [];%基带信号ch='A';N = length(ch)*4; hex1=abs(ch);%由字符转化为对应的ASCII值hex2=abs(ch);for i = 1:N/4% for j=1:4%一个unsigned char型变

2021-10-03 10:51:41 2957

原创 matlab for循环做自相关

对于matlab我是新手,程序也是编写好长时间,总想写篇文章纪念下,所以有些地方可能没有说明白,有需要在修改。目的:利用自相关在接受来的数据中找到与本地数据相同的地方,利用的是自相关函数在原点位置的值最大。既然是在matlab中写程序为啥不用自相关函数呢?因为这个程序以后可能会在单片机上实现,所以先用matlab实验下。fs=200000;%抽样频率B=10000;f0=20000;%LFM信号的起始频率n=2048;%采样点个数T1=n/fs;%在采样频...

2021-10-03 10:33:56 1249

原创 Linux环境C程序设计--19.2.1

在实现19.2.1节的程序时,一开始加上书上的编译质量,也有存在问题可以看出图中,我尝试了两次,当然两次不太一样,因为感觉自己可能时输入错误,导致的编译问题。但是在下载SMTP协议库的时候,看到过另一篇文章,上面写了一段代码,之后博主给了一个编译命令,我在自己的Ubuntu上时可以编译成功的。linux c 发送邮件 - Neo E. Cai - 博客园1、安装库libesmtp-develyum install libesmtp-devel或apt-get install libesmtp..

2021-09-23 19:22:46 112

转载 Linux忘记root命令

Ubuntu单用户模式(安全模式) - 人艰不拆_zmc - 博客园参见上文

2021-09-22 15:37:45 67

原创 Linux环境程序设计--安装llibcurl库

Ubuntu18.04.2 amd64编译安装libcurl支持https - areful - 博客园简要记述Ubuntu18.04.2 amd64环境下,编译安装支持https的curl库。https://www.cnblogs.com/areful/p/11306651.html请参考上面的文章,就可以做到

2021-09-20 15:29:26 102

原创 linux环境C程序设计--编译D-Bus源码

编译16.2.2节的dbus-ding-send.c文件,使用的编译指令是书上指定的,但是编译的结果出来会产生错误,错误有两个。第一个错误是由于缺少一个函数的声明,此时需要包含一个头文件#include <dbus/dbus-glib-lowlevel.h>具体见该讨论:https://bbs.csdn.net/topics/300002306?locationNum=14&fps=1问题2:我不知道具体原因,好像是因为没有定义或者没有引用一些我在main中调用的函数,

2021-09-08 19:57:58 242

原创 linux环境C程序设计--安装D-Bus

根据书上写的指令进行操作,结果显示错误在安装dbus-1-dbg的时候错误,具体原因没仔细看,但是下面提供了另一个安装的替换选项,其命令如下:安装GTK+开发包,可参考下面的这个博客https://www.cnblogs.com/zoutingrong/p/12550673.html以上操作,是我作为一个新手菜鸟,摸索出来,不知道对不对,运行程序之后再来反馈。...

2021-09-08 19:08:15 608 2

原创 学习黑马C++重载的一点困惑

#include <iostream>using namespace std;//通过重载递增运算符,实现自己的整型数据类型class MyInter{public: int m_inter; MyInter() { m_inter = 0; } //MyInter operator++() //{ // //先++ // m_inter++; // //再返回 // return *this; //}};ostream& operato...

2021-07-02 09:14:41 101

原创 FPGA调用OLED

FPGA调用OLED因为参加硬禾学堂的寒假在家一起练的活动,所以记录一些中间遇到的困难和解决方法,当然我因为刚刚接触FPGA,所以在了解了一定的驱动芯片的指令之后,发现电子森林中有驱动TFT_LCD的程序,所以直接拿过来修改了OLED介绍样式介绍OLED屏幕的特点为:0.91寸七针,SPI协议通信;其中我的板子上面的七针有CLK(有些地方是用D0代替),MOSI(也可以用D1)个人经验我建议大家如果说是刚刚入手OLED,直接先把通信协议调好,然后把OLED初始化的程序发送给OLED再

2021-03-11 11:09:14 2189 1

原创 26 Module addsub

An adder-subtractor can be built from an adder by optionally negating one of the inputs, which is equivalent to inverting the input then adding 1. The net result is a circuit that can do two operations: (a + b + 0) and (a + ~b + 1). SeeWikipediaif you w...

2021-03-06 18:12:06 698

原创 25 Module cseladd

One drawback of the ripple carry adder (Seeprevious exercise) is that the delay for an adder to compute the carry out (from the carry-in, in the worst case) is fairly slow, and the second-stage adder cannot begin computingitscarry-out until the first-s...

2021-03-05 11:06:30 561 1

原创 24 Module fadd

In this exercise, you will create a circuit with two levels of hierarchy. Yourtop_modulewill instantiate two copies ofadd16(provided), each of which will instantiate 16 copies ofadd1(which you must write). Thus, you must writetwomodules:top_modul...

2021-02-25 14:20:45 1343

原创 Module add

不好意思写,每日一题,太打脸了,看着写吧,题目如上具体要求:You are given a moduleadd16that performs a 16-bit addition. Instantiate two of them to create a 32-bit adder. One add16 module computes the lower 16 bits of the addition result, while the second add16 module computes th..

2021-02-24 21:59:39 575

原创 每日一题-Module shift8

This exercise is an extension of module_shift. Instead of module ports being only single pins, we now have modules with vectors as ports, to which you will attach wire vectors instead of plain wires. Like everywhere else in Verilog, the vector length of t.

2021-02-22 16:44:31 1325 1

原创 2021-01-11

//14_Vector3module top_module ( input [4:0] a, b, c, d, e, f, output [7:0] w, x, y, z );// // assign { ... } = { ... }; assign {w,x,y,z} = {a,b,c,d,e,f,1'b1,1'b1};endmodule//15_Vectorrmodule top_module( input [7:0] in, out.

2021-01-11 20:17:56 160

原创 蓝桥杯 ds1302

今年寒假在学校准备蓝桥杯,之前没有写过博客,想着乘着这次机会尝试一下,顺便加强一下蓝桥杯的练习。有什么我说的不对的地方,还请大家指正,谢谢了。因为之前已经开始练习了蓝桥杯,所以就从ds1302开始,之前的流水灯,数码管,蜂鸣器已经继电器,就先不说。首先就是ds1302的驱动,这个不多说驱动网上都有,这里只是提供一个方便。(注意,向ds1302直接输入时分秒时不能直接写入,必须先把十进制的数据转

2018-01-26 16:55:51 2253

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除