FPGA小白笔记——FPGA简介(学渣版)

目录

前言

1、FPGA是什么?

2、FPGA开发环境

2.1   语言环境

2.2 FPGA 开发思路

总结


前言

在专用集成电路( ASIC)领域中,FPGA作为一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。同时FPGA可用于实现硬件灵活定制,能够高效地实现算法加速、数据处理,从而提高系统的性能。


1、FPGA是什么?

FPGA(全称: Field Programmable Gate Array),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。FPGA是一种完成通用功能的可编程逻辑芯片,即可以对其进行编程实现某种逻辑处理功能。FPGA更偏向于硬件电路,是用来设计芯片的芯片(FPGA),通过硬件编程语言在FPGA芯片上自定义集成电路的过程;单片机偏向于软件,是在已有的固化电路的芯片(单片机)上设计开发,通过软件编程语言描述软件指令在硬件芯片上的执行。

2、FPGA开发环境

2.1   语言环境

硬件描述语言主要包括:Verilog、VHDL、System Verilog。

Verilog HDL:拥有广泛的设计群体,成熟的资源也比VHDL丰富,从C编程语言中继承了多种操作符和结构,易于学习和理解。

VHDL:超高速集成电路硬件描述语言是一种标准化程度较高的硬件描述语言,其具有语法严谨、结构规范、移植性强、数据类型丰富等特点。除此之外VHDL支持层次结构设计,独立于器件和设计平台,程序复用性强。

SystemVerilog:结合了来自Verilog、VHDL、C++的概念,将硬件描述语言与现代的高层级验证语言结合了起来。所以System Verilog有上述两种语言和计算机高级语言的特征。

因此,本文采用的就是Verilog 语言对FPGA进行编程。

Verilog既是一种行为描述语言也是一种结构描述语言。这意味着,无论是描述电路功能行为的模块,还是描述元器件或较大部件互联的模块,都可以用Verilog语言来建模。

Verilog语言本身就是从C语言继承并发展而来的,但是它主要用于描述硬件,和C语言这种软件语言思想完全不同。C语言所描述的代码功能在执行时都是逐行(顺序)执行的,而Verilog语言在设计完成后执行时则是并行执行的, C语言所描述的代码功能并不会真实的映射成最后的硬件,只是对内存的操作和进行数据的搬移,而用Verlog语言所描述的代码功能则会真正的生成所对应的硬件电路。

图1  半加器逻辑图 

 

2.2 FPGA 开发思路

Verilog HDL的设计多采用自上而下的设计方法( top-down) 。即先定义顶层模块功能,进而分析构成顶层模块的必要子模块;然后进一步对各个子模块进行分解和设计,直到到达底层功能块。这样,可以把一个较大的系统,细化成多个小系统,从时间、工作量上分配给更多的人员去设计,从缩短开发周期,节约成本。

例如:我们要设计一个项目,首先根据它所实现的功能及运用到的工具进行模块的划分,以数码管动态显示为例子,我们需要用到的硬件就有 数码管、和定时器,所以我们将其划分为sel_led_dynamic、time_count两个模块,最后用一个top_sel_led_dynamic模块将两个模块进行连接。

sel_led_dynamic模块sel_led_dynamic用于实现LED数码管的动态显示

module sel_led_dynamic(
	input 				clk  ,//时钟,50MHz
	input 				rst_n,//复位信号,下降沿有效
	input 				flag ,//周期信号
    input               sec,
	
	output reg [5:0]	sel  ,//位选信号,六个数码管
	output reg [7:0]	seg  //段选信号,八段led

);

reg [2:0]	cstat ;//当前状态
reg [2:0]	nstat ;//下一个状态
reg [3:0] value;

reg [16:0] sec_all;
wire [1:0] hour_h;
wire [3:0] hour_l;
wire [2:0] min_h;
wire [3:0] min_l;
wire [2:0] sec_h;
wire [3:0] sec_l;

always@(posedge clk or negedge rst_n)begin
	if(!rst_n)begin//下降沿有效
		sec_all <= 17'd0;
	end 
	else if(sec_all == 17'd86399) begin
		sec_all <= 17'd0;
	end 
    else if(sec) begin
		sec_all <= sec_all + 1'd1;
	end 
    else 
    sec_all <= sec_all;
end 


//状态跳转
always@(posedge clk or negedge rst_n)begin
	if(!rst_n)begin//下降沿有效
		cstat <= 3'd0;//当前状态置0
	end 
	else begin
		cstat <= nstat;//状态跳转
	end 
end 

time_count模块用于计时

module time_count(
	input clk  ,//50MHz时钟信号
	input rst_n,//复位信号

	output	reg  flag//一个时钟周期的脉冲信号
);
parameter MAX_NUM = 26'd25_000_000;//计数器最大计数值
reg  [25:0]	 cnt; //时钟分频计数器

//计数器对时钟计数,每0.5s,输出一个时钟周期脉冲信号
always @(posedge clk or negedge rst_n)begin
	if(!rst_n)begin//按复位时
		flag <= 1'b0;//信号为0
		cnt <= 26'd0;//计数器清零
	end
	else if(cnt == MAX_NUM - 1'b1)begin//如果没到时间
		flag <= 1'b1;//信号为0
		cnt <= 26'd0;//计数器正常累计+1
	end
	else	begin //否则到时间
		flag <= 1'b0;//信号变为1
		cnt <= cnt + 1'b1;
	end
end
endmodule 

 top_sel_led_dynamic模块将两个模块的数据进行整合

module top_sel_led_dynamic(
 input    clk  ,//时钟信号,50MHz
 input    rst_n,//复位信号,下降沿有效
 
 output [5:0] sel  ,//位选信号
 output [7:0] seg   //段选信号
);

wire flag;//wire连接两个模块
wire sec;
parameter MAX_NUM = 25'd10_000;
parameter TIME = 26'd50_000_000;

time_count #(.MAX_NUM(MAX_NUM)) u_time_count(//实例化计时器模块
.clk  (clk)  ,//时钟频率,50MHz
.rst_n  (rst_n),//复位信号,下降沿有效

.flag  (flag)  //一个时钟周期的脉冲信号  

);

time_count #(.MAX_NUM(TIME)) u1_time_count(//实例化计时器模块
.clk  (clk)  ,//时钟频率,50MHz
.rst_n  (rst_n),//复位信号,下降沿有效

.flag  (sec)  //一个时钟周期的脉冲信号  

);

sel_led_dynamic u_sel_led_dynamic(
    .clk (clk),
    .rst_n(rst_n),
    .flag (flag),
    .sec(sec),

    .sel(sel),
    .seg(seg)
);
 
endmodule


总结

学习FPGA不易,小白加油吧!

  • 9
    点赞
  • 31
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《FPGA自学笔记——设计与验证》是一本关于FPGA设计和验证的入门教材。本书以VHDL和Verilog两种HDL语言为主要工具,通过实例讲解FPGA的基本概念、设计流程和验证方法。同时,本书还通过实例演示了如何使用Xilinx ISE和ModelSim这两个主流软件工具进行FPGA设计和验证。 本书的内容分为五个部分,分别是FPGA基础知识、FPGA设计流程、FPGA验证方法、FPGA性能优化以及FPGA应用实例。其中,FPGA基础知识部分介绍了FPGA的基本构成、组成部件以及通用数字电路设计知识;FPGA设计流程部分从设计输入、RTL设计、布局布线、实现生成等方面详细介绍了FPGA设计流程;FPGA验证方法部分主要介绍了功能验证和时序验证这两个方面的知识;FPGA性能优化部分介绍了FPGA的几种性能指标以及如何通过一定的优化方法提高FPGA性能;FPGA应用实例部分通过几个实例演示了如何应用FPGA进行数字电路设计。 本书的难度适中,适合初学者学习和参考,同时也可以作为FPGA初学者的参考书籍。本书涉及的知识点较为全面,可以为初学者提供一个全面的FPGA设计和验证入门指南。其内容易于理解,实例讲解深入浅出,对于想要学习FPGA设计和验证的人群来说是一本很好的参考书。 ### 回答2: 《FPGA自学笔记——设计与验证》PDF是一本很好的自学FPGA的书籍。这本书包含了FPGA基本概念、设计流程、Verilog HDL语言、开发工具、测试方法等多个主题,非常详尽地介绍了FPGA的基本知识和开发技巧。读这本书可以帮助我们更好地理解FPGA的原理和功能,从而更加熟练地掌握FPGA的设计和验证。 此外,这本书还提供了很多实例来帮助我们更好地理解FPGA的设计和验证。这些实例包含多种应用场景,例如数字逻辑、时序控制、通信等,能够帮助我们从不同角度学习FPGA的相关知识。而且,这本书还提供了实验指导,通过做实验来让我们更深入地理解FPGA的各种知识和技能。 总之,这本书《FPGA自学笔记——设计与验证》PDF是一本非常好的FPGA自学指南,通过阅读这本书,我们可以掌握FPGA基本知识和开发技能,更好地应用FPGA进行各种应用开发。我相信,读完这本书,你一定能够对FPGA有更深刻的认识,并且能够灵活运用FPGA进行各种应用开发。 ### 回答3: 《FPGA自学笔记——设计与验证》是一本以FPGA为研究对象的书籍。它详细介绍了FPGA的诸多特性和应用。该书主要分为两部分,第一部分介绍了FPGA的基本概念,并讲解了Verilog的语法和使用方法。第二部分是实践性较强的部分,通过编写案例代码进行实际操作。 该书着重强调了FPGA设计流程,通过案例演示了FPGA设计的全过程。该书还提供了大量的练习题和案例代码,读者可以通过反复练习和实际操作,逐渐掌握FPGA的设计和验证技能。 总体来说,《FPGA自学笔记——设计与验证》是一本非常实用的FPGA入门教材。它从基础知识入手,循序渐进地讲解了FPGA的各个方面。并且,该书重点讲解了如何运用Verilog语言进行FPGA设计,这对FPGA初学者来说是一个非常实用的指南。 如果你对FPGA领域感兴趣,且希望通过自学来掌握FPGA的基本操作和设计方法,那么《FPGA自学笔记——设计与验证》是一本非常值得推荐的书籍。  

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值