自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+
  • 博客(110)
  • 收藏
  • 关注

原创 常见电源电路(LDO、非隔离拓扑和隔离拓扑结构)

非隔离电源拓扑到隔离式电源拓扑的演变磁芯的磁滞回线反激和正激工作原理对比反激:初级工作,次级不工作,各自独立,一般选择DCM工作模式,变压器的电感比较小,需要考虑气隙问题,适用于中小功率;正激:初级和次级一同工作,次级由于初级停止工作时续流电感工作产生续流,一般采用CCM工作模式(功耗较大),输入输出的和占空比成比例关系;反激和正激复位机制对比正激:不能储能,由于励磁电感具有有限值,励磁电流使磁芯变大,避免磁通饱和需要辅助绕阻Lm进行磁通复位;

2024-01-23 15:58:16 1942

原创 戴维南等效(诺顿等效)电路分析

根据上面所述的戴维宁定理,将线性含源一端口网络等效为电压源与电阻的串联,这个过程就称为戴维宁等效电路的求解。将电阻R3的左右两部分的电路都看为二端口网络从而加以简化。左侧是一个含源一端口,求开路电压及等效电阻较为方便,它的等效电路图如下图1-7的②所示;:简单的电路利用 KCL 与 KVL 就可以完成求解,复杂的电路则需要利用。再求右侧的无源一端口的等效电阻,如图1-7的④所示;最后画出简化电路图,进而求出通过R3的电流i3。),然后再求解端口的等效电阻;

2024-01-15 14:55:36 7263 2

原创 闩锁效应(Latch-up)

闩锁效应

2024-01-11 16:02:15 823 1

原创 基础电路知识

包含工作常用电路元器件和基本电路

2023-07-03 19:34:24 3328 1

原创 TFT-LCD电路设计之时序控制电路(TCON)

TCON中除了输入接口的Rx电路和输出电路接口Tx电路外,还包含:①驱动IC控制模块;②数据处理功能块;③显示屏特殊技术功能块。Control Signal Generator 属于驱动IC控制功能模块,包含Gamma Correction 和FRC的Data Processsing属于数据处理功能模块。...

2022-06-17 17:21:15 6546 2

原创 TFT-LCD电路设计之电源电路(Power IC)

Power IC 利用经系统的输入电压生成5种工作电压,一般外界电压,NB为3.3V,Monitor为5V,TV一般为12V。

2022-06-17 15:15:54 9112 1

原创 TFT-LCD显示驱动系统架构

TFT-LCD驱动的核心是电压和透过率的关系。驱动电压决定子像素透过的亮度,不同的RGB子像素亮度组合形成各种颜色,不同像素上的不同颜色最后组成五彩缤纷的画面。通过V-T曲线,它是透过率和驱动电压之间的关系曲线。V-T曲线是一种非线性响应,这种非线性所产生的指数曲线称为伽马。液晶显示屏的驱动最重要的工作,就是进行伽马调节和伽马校正。伽马校正(Gamma correction) 又叫伽马非线性化(gamma nonlinearity)、伽马编码(gamma encoding),是用来针对影片或是影像系统里

2022-06-15 09:25:21 7344 2

原创 TFT-LCD显示技术类型详述

TFT-LCD显示技术类型详述

2022-06-08 19:38:05 2557

原创 FPGA基础知识----第三章 第6节 功能描述-时序逻辑

第6节 功能描述-时序逻辑

2021-11-08 16:58:02 1759

原创 FPGA基础知识----第三章 第5节 功能描述-组合逻辑

第5节 功能描述-组合逻辑5.1 程序语句5.1.1 assign 语句assign 语句是连续赋值语句,一般是将一个变量的值不间断地赋值给另一变量,两个变量之间就类似于被导线连在了一起,习惯上当做连线用。 assign 语句的基本格式是:assign a = b (逻辑运算符) c …;assign 语句的功能属于组合逻辑的范畴,应用范围可以概括为一下几点:(1)持续赋值;(2)连线;(3) 对 wire 型变量赋值, wire 是线网,相当于实际的连接线,如果要用 assign 直接连接

2021-11-07 20:14:51 6418 1

原创 FPGA基础知识----第三章 第4节 信号类型

第4节 信号类型Verilog HDL 的信号类型有很多种,主要包括两种数据类型:线网类型(net type) 和寄存器类型( reg type)。在进行工程设计的过程中也只会使用到这两个类型的信号。4.1 信号位宽定义信号类型的同时,必须定义好信号的位宽。默认信号的位宽是 1 位,当信号的位宽为 1 时可不表述,如定义位宽为 1 的 wire 型信号 a 可直接用“ wire a;”来表示。 但信号的位宽大于 1 位时就一定要表示出来,如用“ wire [7:0]”来表示该 wire 型信号的位宽为

2021-11-04 21:28:40 3072

原创 FPGA基础知识----第三章 第3节 模块结构

第3节 模块结构3.1 模块介绍模块( module)是 Verilog 的基本描述单位, 是用于描述某个设计的功能或结构及与其他模块通信的外部端口。模块在概念上可等同一个器件,就如调用通用器件(与门、三态门等)或通用宏单元(计数器、ALU、 CPU)等。因此,一个模块可在另一个模块中调用,一个电路设计可由多个模块组合而成。一个模块的设计只是一个系统设计中的某个层次设计,模块设计可采用多种建模方式。Verilog 的基本设计单元是―模块‖。采用模块化的设计使系统看起来更有条理也便于仿真和测试,因此整

2021-11-04 21:25:09 3901

原创 FPGA基础知识----第三章 第2节 综合和仿真

第2节 综合和仿真2.1 综合Verilog 是硬件描述语言,顾名思义,就是用代码的形式描述硬件的功能,最终在硬件电路上实现该功能。 在 Verilog 描述出硬件功能后需要使用综合器对 Verilog 代码进行解释并将代码转化成实际的电路来表示,最终产生实际的电路, 也被称为网表。这种**将 Verilog 代码转成网表的工具就是综合器**。上图左上角是一段 Verilog 代码,该代码实现了一个加法器的功能。 在经过综合器解释后该代码被转化成一个加法器电路。 QUARTUS、 ISE 和 VIV

2021-11-04 16:49:23 4425 1

原创 FPGA基础知识----第三章 第1节 Verilog 的历史

第1节 Verilog 的历史在传统硬件电路的设计方法中,当设计工程师需要设计一个新的硬件、数字电路或数字逻辑系统时,需要为此设计并画出一张线路图, 随后在 CAE(计算机辅助工程分析)工作站上进行设计。所设计的线路图由线和符号组成,其中线代表了线路,符号代表了基本设计单元, 其取自于工程师构造此线路图使用的零件符号库。对于不同逻辑器件的设计,需要选择对应的符号库,如当设计工程师选择的时标准逻辑器件( 74 系列等)作为板级设计线路图,那么此线路图的符号则需要取自标准逻辑零件符号库;若设计工程师进行了 A

2021-11-04 16:47:53 819

原创 FPGA基础知识----第二章 FPGA 开发流程

第二章 FPGA 开发流程FPGA 的设计流程就是利用 EDA 开发软件和编程工具对 FPGA 芯片进行开发的过程。原理图和HDL(Hardware description language,硬件描述语言)是两种最常用的数字硬件电路描述方法。其中,运用 HDL 设计方法具有更好的移植性、通用性以及利于模块划分的特点,在工作学习中被广泛使用。典型 FPGA 的开发流程一般如下图所示, 其包括功能定义/器件选型、设计输入、功能仿真、综合优化、综合后仿真、实现、布线后仿真、板级仿真以及芯片编程与调试等主要步骤。

2021-10-27 16:15:45 7565 1

原创 FPGA基础知识----FPGA 简介

1. FPGA 简介第1节 什么是 FPGAFPGA 的全称为 Field-Programmable Gate Array,即现场可编程门阵列。 FPGA 是在 PAL、 GAL、 CPLD 等可编程器件的基础上进一步发展的产物, 是作为专用集成电路( ASIC)领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。 简而言之, FPGA 就是一个可以通过编程来改变内部结构的芯片。FPGA 功能实现:需要通过编程即设计硬件描述语言,经过 EDA 工具编译、

2021-10-26 20:20:57 64759 11

原创 2021交通银行面试问题总结

交通银行面试问题总结为什么来交通银行?交行自1908年(梁士诒)创办以来,已经有一百多年的历史,拥有深厚的文化底蕴和人文情怀,对员工培养也是不遗余力,具备完善的员工培养机制,在金融科技方面,交行的董事长任德奇先生也曾说过,金融科技战略由落子布局到精耕细作,并且从近几年的交行的发展情况来看,交行一直在蓬勃发展,20年交行入选全球品牌价值500强,因此我相信交行在金融科技上的发展是十分有潜力的,能让我得到更好更快的成长。有什么问题需要提问?由于没有现在还没有进入到交行工作,所以想了解下对于新入职的新员工

2021-09-28 11:36:41 1351

原创 大华集团面经Java开发

大华集团面经Java开发JVM 内存模型JVM内存结构主要有三大块:堆内存、方法区和栈。堆内存是JVM中最大的一块由新生代和老年代组成,而新生代内存又被分成三部分,Eden空间、From Survivor空间、To Survivor空间,默认情况下年轻代按照8:1:1的比例来分配;方法区存储类信息、常量、静态变量等数据,是线程共享的区域,为与Java堆区分,方法区还有一个别名Non-Heap(非堆);栈又分为java虚拟机栈和本地方法栈主要用于方法的执行。方法区和堆是所有线程共享的内存区域;而**

2021-09-25 10:56:25 725 2

原创 剑指 Offer 30(栈堆队列2).包含min函数的栈

剑指 Offer 30(栈堆队列2).包含min函数的栈问题描述:定义栈的数据结构,请在该类型中实现一个能够得到栈的最小元素的 min 函数在该栈中,调用 min、push 及 pop 的时间复杂度都是 O(1)。示例:MinStack minStack = new MinStack();minStack.push(-2);minStack.push(0);minStack.push(-3);minStack.min(); --> 返回 -3.minStack.pop();m

2021-09-10 11:04:20 337

原创 剑指 Offer 29(数组5).顺时针打印矩阵

剑指 Offer 29(数组5).顺时针打印矩阵问题描述:输入一个矩阵,按照从外向里以顺时针的顺序依次打印出每一个数字。示例:输入:matrix = [[1,2,3],[4,5,6],[7,8,9]]输出:[1,2,3,6,9,8,7,4,5]输入:matrix = [[1,2,3,4],[5,6,7,8],[9,10,11,12]]输出:[1,2,3,4,8,12,11,10,9,5,6,7]解题思路:思路链接考虑设定矩阵的“左、上、右、下”四个边界,模拟以上矩阵遍历顺序。

2021-09-09 22:07:43 297

原创 剑指 Offer 28(树4).对称的二叉树

剑指 Offer 28(树4).对称的二叉树问题描述:请实现一个函数,用来判断一棵二叉树是不是对称的。如果一棵二叉树和它的镜像一样,那么它是对称的。例如,二叉树 [1,2,2,3,4,4,3] 是对称的。    1   / \  2   2 / \ / \3  4 4  3但是下面这个 [1,2,2,null,3,null,3] 则不是镜像对称的:   

2021-09-09 10:25:23 308

原创 剑指 Offer 27(树3).二叉树的镜像

剑指 Offer 27(树3).二叉树的镜像问题描述:请完成一个函数,输入一个二叉树,该函数输出它的镜像。例如输入:     4   /   \  2     7 / \   / \1   3 6   9镜像输出:     4   /   \  7     2&

2021-09-08 10:02:55 304

原创 剑指 Offer 26(树2).树的子结构

剑指 Offer 26(树2).树的子结构问题描述:输入两棵二叉树A和B,判断B是不是A的子结构。(约定空树不是任意一个树的子结构)B是A的子结构, 即 A中有出现和B相同的结构和节点值。例如:给定的树 A:     3    / \   4   5  / \ 1   2给定的树 B:   4   / 1返回 tru

2021-09-07 16:24:10 321

原创 剑指 Offer 25(链表5).合并两个排序的链表

剑指 Offer 25(链表5).合并两个排序的链表问题描述:输入两个递增排序的链表,合并这两个链表并使新链表中的节点仍然是递增排序的。示例:输入:1->2->4, 1->3->4输出:1->1->2->3->4->4解题思路:思路连接思路一:迭代设置integer为头结点,放置于新链表之前,最后返回的就是integer.next;设置cur为当前节点,从integer开始;当两个链表都非空时进入循环,令新链表的下一个节点cur

2021-09-06 13:08:29 314

原创 剑指 Offer 22(链表4).链表中的倒数第k个结点

剑指 Offer 22(链表4).链表中的倒数第k个结点问题描述:输入一个链表,输出该链表中倒数第k个节点。为了符合大多数人的习惯,本题从1开始计数,即链表的尾节点是倒数第1个节点。例如,一个链表有 6 个节点,从头节点开始,它们的值依次是 1、2、3、4、5、6。这个链表的倒数第 3 个节点是值为 4 的节点。示例:给定一个链表: 1->2->3->4->5, 和 k = 2.返回链表 4->5.解题思路:思路连接第一时间想到的解法:先遍历统计链表

2021-09-05 22:27:20 107

原创 剑指 Offer 21(数组4). 调整数组顺序使奇数位于偶数前面

剑指 Offer 21(数组4). 调整数组顺序使奇数位于偶数前面问题描述:输入一个整数数组,实现一个函数来调整该数组中数字的顺序,使得所有奇数位于数组的前半部分,所有偶数位于数组的后半部分。示例:输入:nums = [1,2,3,4]输出:[1,3,2,4] 注:[3,1,2,4] 也是正确的答案之一。解题思路:思路链接辅助数组:遍历两边nums,第一遍遍历奇数,放在res数组中,第二遍遍历偶数,继续添加到number数组中代码实现class Solution { pub

2021-09-03 22:11:02 142

原创 剑指 Offer 20.表示数值的字符串

剑指 Offer 20.表示数值的字符串问题描述:请实现一个函数用来判断字符串是否表示数值(包括整数和小数)。数值(按顺序)可以分成以下几个部分:若干空格一个 小数 或者 整数(可选)一个 ‘e’ 或 ‘E’ ,后面跟着一个 整数若干空格小数(按顺序)可以分成以下几个部分:(可选)一个符号字符(’+’ 或 ‘-’下述格式之一:至少一位数字,后面跟着一个点 ‘.’至少一位数字,后面跟着一个点 ‘.’ ,后面再跟着至少一位数字一个点 ‘.’ ,后面跟着至少一位数字**整数(按

2021-09-02 15:45:39 165

转载 Java常用设计模式知识全面总结(有这一篇就够了!!!)

一、什么是设计模式?概念:设计模式是软件开发人员在软件开发过程中面临的一般问题的解决方案。1.1 设计模式分类创建型模式,共五种:工厂方法模式、抽象工厂模式、单例模式、建造者模式、原型模式。结构型模式,共七种:适配器模式、装饰器模式、代理模式、外观模式、桥接模式、组合模式、享元模式。行为型模式,共十一种:策略模式、模板方法模式、观察者模式、迭代器模式、责任链模式、命令模式、备忘录模式、状态模式、访问者模式、中介者模式、解释器模式。1.2 设计模式原则1. 开闭原则(Open

2021-09-02 12:29:30 1959

转载 剑指 Offer 18(链表3).删除链表的节点

剑指 Offer 18(链表3).删除链表的节点问题描述:给定单向链表的头指针和一个要删除的节点的值,定义一个函数删除该节点。返回删除后的链表的头节点。示例:输入: head = [4,5,1,9], val = 5输出: [4,1,9]解释: 给定你链表中值为 5 的第二个节点,那么在调用了你的函数之后,该链表应变为 4 -> 1 -> 9.输入: head = [4,5,1,9], val = 1输出: [4,5,9]解释: 给定你链表中值为 1 的第三个节点,那

2021-09-01 18:52:29 158

原创 JVM--Java虚拟机全面笔面试知识总结(有这一篇就够了!!!)

文章目录一、JVM概述二、JVM的位置2.1 JDK,JRE,JVM三者关系2.2 JVM所在位置三、JVM的体系结构3.1 方法区(Method Area)---线程共享3.2 Java堆(Heap)--- 线程共享3.3 程序计数器 -- 线程私有3.4 Java 虚拟机栈(JVM Stacks)-- 线程私有3.5 本地方法栈(Native Method Stacks)-- 线程私有3.6 JVM内部各区域的存储文件3.7 不同OutOfMemoryErrors情况:四、JVM中类的加载机制4.1

2021-09-01 14:38:52 326 1

原创 剑指 Offer 17(数组3).打印从 1 到最大的 n 位数

剑指 Offer 17(数组3).打印从 1 到最大的 n 位数问题描述:输入数字 n,按顺序打印出从 1 到最大的 n 位十进制数。比如输入 3,则打印出 1、2、3 一直到最大的 3 位数 999。示例:输入: n = 1输出: [1,2,3,4,5,6,7,8,9]解题思路:算法过程:明确要求,数组长度为10的n次方减1;建立一个满足问题要求的数组;将数组元素从1到数组长度的值逐一填入到数组中;代码实现:将时间缩短了一半class Solution { publ

2021-08-30 21:58:06 121

原创 剑指 Offer 16(二分思想).数值的整数次方

剑指 Offer 16(二分思想).数值的整数次方问题描述:实现 pow(x, n) ,即计算 x 的 n 次幂函数(即,xn)。不得使用库函数,同时不需要考虑大数问题。示例:输入:x = 2.00000, n = 10输出:1024.00000输入:x = 2.10000, n = 3输出:9.26100输入:x = 2.00000, n = -2输出:0.25000代码实现(想法对的,但是超出了时间限制)class Solution { public do

2021-08-30 21:38:18 134

原创 剑指 Offer 15(位运算1).二进制中1的个数

问题描述:编写一个函数,输入是一个无符号整数(以二进制串的形式),返回其二进制表达式中数字位数为 ‘1’ 的个数(也被称为 汉明重量)。提示:请注意,在某些语言(如 Java)中,没有无符号整数类型。在这种情况下,输入和输出都将被指定为有符号整数类型,并且不应影响您的实现,因为无论整数是有符号的还是无符号的,其内部的二进制表示形式都是相同的。在 Java 中,编译器使用 二进制补码 记法来表示有符号整数。因此,在上面的 示例 3 中,输入表示有符号整数 -3。示例:输入:n = 11 (控

2021-08-30 20:40:39 129

转载 剑指 Offer 14-2(贪心思想2).剪绳子

问题描述:给你一根长度为 n 的绳子,请把绳子剪成整数长度的 m 段(m、n都是整数,n>1并且m>1),每段绳子的长度记为 k[0],k[1]…k[m-1] 。请问 k[0] k[1]*…*k[m-1] 可能的最大乘积是多少?例如,当绳子的长度是8时,我们把它剪成长度分别为2、3、3的三段,此时得到的最大乘积是18。答案需要取模 1e9+7(1000000007),如计算初始结果为:1000000008,请返回 1。示例:输入: 2输出: 1解释: 2 = 1 + 1, 1 ×

2021-08-30 19:02:05 142

原创 计算机操作系统知识点总结(有这一篇就够了!!!)

一、操作系统概述1.1 操作系统的定义与目标定义:操作系统是控制管理计算机系统的硬软件,分配调度资源的系统软件。目标:方便性,有效性(提高系统资源的利用率、提高系统的吞吐量),可扩充性,开放性。1.2 操作系统的基本功能统一管理计算机资源:处理器资源,IO设备资源,存储器资源,文件资源;实现了对计算机资源的抽象:IO设备管理软件提供读写接口,文件管理软件提供操作文件接;提供了用户与计算机之间的接口:GUI(图形用户界面),命令形式,系统调用形式。1.3 操作系统的特征最基本的特征,互为

2021-08-30 16:27:34 166837 23

原创 剑指 Offer 14-1(贪心思想1).剪绳子

问题描述:给你一根长度为 n 的绳子,请把绳子剪成整数长度的 m 段(m、n都是整数,n>1并且m>1),每段绳子的长度记为 k[0],k[1]…k[m-1] 。请问 k[0] k[1]*…*k[m-1] 可能的最大乘积是多少?例如,当绳子的长度是8时,我们把它剪成长度分别为2、3、3的三段,此时得到的最大乘积是18。示例:输入: 2输出: 1解释: 2 = 1 + 1, 1 × 1 = 1输入: 10输出: 36解释: 10 = 3 + 3 + 4, 3 × 3 ×

2021-08-30 10:05:22 125

原创 计算机网络知识点全面总结(有这一篇就够了!!!)

计算机网络概述计算机网络的分类按照网络的作用范围:广域网(WAN)、城域网(MAN)、局域网(LAN);  按照网络使用者:公用网络、专用网络。计算机网络的层次结构TCP/IP四层模型与OSI体系结构对比:层次结构设计的基本原则各层之间是相互独立的;每一层需要有足够的灵活性;各层之间完全解耦。计算机网络的性能指标速率:bps=bit/s  时延:发送时延、传播时延、排队时延、处理时延  往返时间RTT:数据报文在端到端通信中的来回一次的时间。物理层**物理层的作用:

2021-08-29 21:04:09 249988 134

原创 剑指 Offer 13(图搜索篇2).机器人的运动范围

问题描述:地上有一个m行n列的方格,从坐标 [0,0] 到坐标 [m-1,n-1] 。一个机器人从坐标 [0, 0] 的格子开始移动,它每次可以向左、右、上、下移动一格(不能移动到方格外),也不能进入行坐标和列坐标的数位之和大于k的格子。例如,当k为18时,机器人能够进入方格 [35, 37] ,因为3+5+3+7=18。但它不能进入方格 [35, 38],因为3+5+3+8=19。请问该机器人能够到达多少个格子?示例:输入:m = 2, n = 3, k = 1输出:3输入:m = 3,

2021-08-28 22:12:38 121

原创 剑指 Offer 11(排序篇1).旋转数组的最小数字

问题描述:把一个数组最开始的若干个元素搬到数组的末尾,我们称之为数组的旋转。输入一个递增排序的数组的一个旋转,输出旋转数组的最小元素。例如,数组 [3,4,5,1,2] 为 [1,2,3,4,5] 的一个旋转,该数组的最小值为1。示例:输入:[3,4,5,1,2]输出:1输入:[2,2,2,0,1]输出:0解题思路:这个数组最开始的若干个元素搬到数组的末尾,旋转后的数组前部分都是按照大小排序好的。1.直接遍历,线性查找class Solution { public i

2021-08-28 20:29:09 156

原创 五、MySQL从入门到精通---事务与索引(重点内容)

一、事务(笔试面试常考)1.1 事务概述在MySQL数据库中只有InnoDB和BDB存储引擎支持事务,MyISAM存储引擎不支持事务。默认的存储引擎是InnoDB;事务就是将一组SQL语句放在同一批次内去执行;如果一个SQL语句出错,则该批次内的所有SQL都将被取消执行;事务用来保证数据的完整性、正确性,操作的原子性以及并发访问时数据的隔离性;事务用来管理INSERT、UPDATE、DELETE等DML语句;事务必须手动开启、提交、回滚,也可以进行自动提交事务;事务的作用范围是一个SESS

2021-08-27 16:13:11 270

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除