四选一多路选择器

本文详细介绍了如何在FPGA开发中实现四选一多路选择器,通过代码模块的设计和testbench的验证,阐述了整个实现过程。
摘要由CSDN通过智能技术生成

 代码模块

//定义输入输出
module mux_to_1(out,i0,i1,i2,i3,s1,s0);

//一路输出
output out;

//四路输入
input i0,i1,i2,i3;

//两路选择
input s1,s0;

//内部线网
wire s1n,s0n;
wire y0,y1,y2,y3;

//生成s1n和s0n
not (s1n,s1);
not (s0n,s0);

//调用三输入与门,选择四路输出
and(y0,i0,s1n,s0n);
and(y1,i1,s1n,s0);
and(y2,i2,s1,s0n);
and(y3,i3,s1,s0);

//确定输出
or (out,y0,y1,y2,y3);
endmodule

 

testbench

`timescale 1ns / 1ps
module tb_mux_to_1();
reg i0,i1,i2,i3;
reg s1,s0;
wire out;
initial
    begin
        i0=1;
        i1=0;
        i2=1;
        i3=0;
        #1 $display("i0=%b,i1=%b,i2=%b,i3=%b \n",i0,i1,i2,i3);
        s1=0;s0=0;
        #1 $display("s1=%b,s0=%b,out=%b \n",s1,s0,out);
        s1=0;s0=1;
        #1 $display("s1=%b,s0
  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值