《计算机系统要素》 第二章 布尔运算

本章将要构建具有完整功能的算术逻辑单元ALU(The Arithmetic Logic Unit)

HalfAdder(a, b, sum, carry) // 半加器
FullAdder(a, b, c, sum, carry) // 全加器
Add16(a[16], b[16], out[16]) // 加法器
Inc16(in[16], out[16]) // 增量器
Or16Way(in[16], out) // 16通道或
IsNeg16(in[16], out) // 判断双字节数是否为负数
ALU(x[16], y[16], zx, nx, zy, ny, f, no, out[16], zr, ng) // 算数逻辑单元

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值