EDA与VHDL题目——八选一选择器

EDA与VHDL题目——八选一选择器

代码

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
ENTITY mux8 IS
    PORT(data0,data1,data2,data3,data4,data5,data6,data7,a,b,c:IN STD_LOGIC;
         q:OUT STD_LOGIC);
END mux8;
ARCHITECTURE concunt OF mux8 IS
SIGNAL sel:STD_LOGIC_VECTOR(2 DOWNTO 0);
BEGIN
    sel<=c&b&a;
WITH sel SELECT
q<= data0 WHEN "000",
	 data1 WHEN "001",
	 data2 WHEN "010",
	 data3 WHEN "011",
	 data4 WHEN "100",
	 data5 WHEN "101",
	 data6 WHEN "110",
	 data7 WHEN "111",
	   'Z' WHEN OTHERS;
END concunt;
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值