FPGA配置高速ADC篇(3)_3线SPI配置时序分析

*******私信博主请加V:FPGA_GO*******

上篇(第2篇)博主小飞以德州仪器(TI)的高速ADC芯片——ads52j90为例,介绍完了 4线SPI配置时序。本篇(第3篇)咱们将以Analog Device(ADI)的多通道高速ADC芯片AD9249为例,介绍3线SPI读写配置时序。另外,大家如果想详细了解ADI公司的关于SPI配置的所有内容,强烈推荐大家在其官网阅读专门介绍其SPI内容的“AN-877”手册。

AD9249的SPI控制模块包含4根信号线,即CSB1、CSB2、SDIO以及SCLK。但CSB1、CSB2可以一起由CSB来控制,实际上就是3线SPI。由于3线SPI数据的读、写操作在同一根信号线SDIO上实现,因此其配置方式与4线的配置稍微有些不一样。下面我们将详细介绍读写操作:

1.CSB:SPI控制读写使能信号;

2.SDIO:SPI的数据、地址读写端口;

3.SCLK:FPGA提供给ADC的SPI接口时钟;

如下图1所示为该ADC的SPI读、写配置时序图。其中CSB和SCLK的操作和上篇介绍的4线SPI配置相同,图上的时序参数在其datasheet上也有明确的说明,这里就不介绍了。3线SPI与4线SPI配置的主要不同之处在传输的数据格式以及I/O转换上。3线的读写数据格式由控制命令+地址+数据组成,而上篇提到的4线配置只有地址+数据。其中R/~W为高电平时,表示读操作,低电平表示写操作。W1,W0表示要读写的数据字节数,一般都设为0,代表每次读写一个寄存器地址的数据。A12~A0表示13bit的寄存器地址。D7~D0表示要读写的8bit寄存器数据。

图1:SPI读、写时序图

因此我们在SPI写操作时,只需写入 1bit 1 + 2bit 0 +13bit地址+ 8bit数据 即可。其配置的方法和上篇的4线SPI写操作相同。但当我们在执行SPI读操作时,就需要注意了。首先需写入1bit 0 + 2bit 0 +13bit 地址,当最后1bit的地址A0在SCLK的上升沿写入SDIO后,SDIO会由输入口变为输出口,然后在接下来的8个SCLK下降沿,SDIO会输出寄存器的8bit数据。因此,在ADC的SDIO由输入变为输出口时,FPGA端的SDIO必须同步由输出口变为输入口,并在SCLK上升沿接收这8bit数据最稳定,FPGA端口的这种I/O转换可以通过其内置的三态门来实现。

如图2所示为SDIO由输入口变为输出口的时序控制图,tEN_SDIO为转换时间,其最小时间为10ns,参考零点为SCLK下降沿。

图2:SDIO输入转换为输出的时序图

如图3所示为SDIO由输出口变为输入口的时序控制图,tDIS_SDIO为转换时间,其最小时间也为10ns,参考零点为SCLK上升沿。

图3:SDIO输出转换为输入的时序图

3线SPI的读写时序分析就介绍到这里了,同样强调几个关键点:

关键点1CSB在读写操作时,必须拉低。读写完成之后,必须拉高。

关键点2SDIO作为输入口时,数据每次必须在SCLK的上升沿写入SPI。

关键点3SDIO作为输出口时,寄存器数据每次在SCLK的下降沿输出SPI,FPGA端在SCLK的上升沿处捕获数据最稳定。

关键点4一定要满足datasheet给出的SPI的时序参数,并在代码实现时要留有适当的时序裕量。

关键点5注意FPGA端的SDIO口的三态控制逻辑,以便正确读写ADC寄存器。

  • 10
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
FPGA(现场可编程门阵列)是一种灵活的电子器件,可以用于实现各种数字电路,包括信号处理、控制和通信等应用。SPI(Serial Peripheral Interface)是一种串行通信协议,常用于FPGA与外部设备的数据传输。 要使用FPGA配置ADC(模数转换器),我们可以借助SPI协议进行通信。首先,需要了解ADCSPI通信接口规格,包括时钟频率、数据格式、命令和寄存器等。 在FPGA中,我们可以使用硬件描述语言(如Verilog或VHDL)来实现SPI接口的控制器。控制器的主要功能包括生成SPI时钟和数据信号,发送命令和接收数据。 首先,我们需要配置FPGA的引脚和时钟资源,以适配ADCSPI接口。然后,按照SPI协议的时序要求,设计SPI控制器的状态机或时序逻辑。在状态机中,根据需要发送相应的命令、读取ADC的数据和状态等。 接下来,通过FPGA的I/O引脚连接ADCSPI接口。FPGA通过发送SPI时钟和数据信号,将配置命令发送给ADC,并读取其返回的数据。 最后,根据ADC配置需求,我们可以使用FPGA控制器发送不同的命令,设置ADC的采样频率、增益、输入通道等参数。通过SPI接口读取ADC的数据,可以进一步在FPGA中进行数字信号处理、滤波和数据分析等操作。 总结来说,利用FPGA配置ADC的过程主要包括:了解ADCSPI接口规格、设计SPI控制器、配置FPGA引脚和时钟资源、连接ADCSPI接口、发送配置命令和读取数据。通过这些步骤,我们可以实现FPGAADC配置和数据读取,进一步实现各种应用需求。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值