Xilinx ISE、Synplify、Modelsim之间的关系,为什么会存在比ISE更专业的FPGA开发工具?

虽说Xilinx的ISE内自带了综合和仿真工具,但是在网络论坛上很多人都说这两个功能模块(特别是仿真模块)并不好用而且不专业,所以建议专业用户使用Synopsys公司提供的Synplify、Synplify Pro和Synplify Premier等专业HDL综合软件进行综合,而又使用Mentor公司提供的Modelsim软件进行前/后仿真。

那么问题来了,不是说Xilinx公司是FPGA器件的发明者吗?那么这个发明者自己提供的开发工具怎么就会变得不专业了呢?

实际上,在FPGA器件被Xilinx公司发明之前(1984年),已经出现了不少可编程的逻辑器件(如:PAL、PLA、GAL等均在1970年左右出现,晚些还出现了CPLD)。而这些可编程逻辑器件的开发都是基于“硬件描述语言(HDL)”来实现的,从那时起就已经出现了基于HDL的电路综合、仿真工具。这些工具(Synplify、Modelsim等)发展到现在已经经历了半个多世纪,其专业性和易用性均已经十分成熟。

 

而关于“硬件描述语言”的出现则要追溯到20世纪70年代,自那时起,集成电路的复杂程度按照半导体业界摩尔定律的趋势急剧增长。电路设计人员的工作量不断增加,这使得他们必须放弃以往那样从特定的电子元件,如CMOS、双极性晶体管为基础,来开始复杂电路的设计工作。设计流程的关注重心开始转到电路系统的数据流动以及有关时序的信息,这样的设计抽象级别被称为“寄存器传输级”。设计人员通过使用硬件描述语言,可以将精力放在电路逻辑功能、时序的设计上,而不需要一开始就考虑具体的器件制造工艺以及它们对电路功能的影响。

相比之下,借助FPGA的广泛普及而崛起的Xilinx公司和Altera公司,他们所提供的FPGA开发工具实际上就是一个集成的综合环境,该工具集成了一系列的编码、综合、仿真、下载、调试等功能模块,足以让普通用户在该工具下完成整个FPGA应用的开发流程。

Quartus_Ⅱ软件是由Altera公司开发的完整多平台设计环境,能满足各种FPGACPLD的设计需要,是片上可编程系统设计的综合性环境。Vivado设计套件,是Xilinx公司于2012年发布的集成设计环境。包括高度集成的设计环境和新一代从系统到IC级的工具,这些均建立在共享的可扩展数据模型和通用调试环境基础上。Xilinx Vivado设计套件中提供了FIFO IP核,可方便应用于设计中。

因此,存在比xilinx ISE和Quartus_Ⅱ更专业、更好用的FPGA综合、仿真工具就不足为奇了。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值