【计算机组成原理】实验6:指令总线运用实验

实验内容

一、实验原理

指令总线(IBUS)作为传递指令信息的通道是连接指令部件的钮带,如下图所示,在取指操作中指令信息由主存流向指令寄存器IR和指令译码器ID,若取操作数也可经三态门流向数据总线,指令总线(IBUS)也是主存及IR与数据总线之间的互递通路,在主存读写周期与数据总线双向交換信息,在通用寄存器或内存寻址操作中透过数据总线单向传递地址信息。

二、数据通路

image

三、部件特点

1. 指令寄存器IR

上图所示的IR框由2片74LS574锁存器构成16位指令寄存器,主要用于存放指令所规定的寻址方式,它的输入端经指令总线(IBUS)分别与主存和数据总线构成取数通路。其锁存输出端编码产生通用寄存器地址,并指定由IR15~IR8提供内存地址。

2. 指令编译器ID

上图所示的ID框由指令编译电路(CPLD)构成11位微地址寄存器,主要用于存放指令排序器所定义的指令起始微地址(亦可称为指令的微程序入口地址)。ID的输入端经指令总线(IBUS)分别与主存和数据总线构成取数通路。其三态输出端经微总线(uBUS)单向流入微程序计数器的输入端口,在时序电路的控制下形成与当前指令相对应的微程序入口地址。

四、 指令总线手动在线实验

1、将系统状态设置为“手动”、“在线”。

2、指令寄存器IR字打入

拨动“I/O输入输出单元”开关向指令寄存器IR置数,操作步骤如下:

image

image

3、指令寄存器IR偶字节打入

拨动“I/O输入输出单元”开关向指令寄存器IR置数,操作步骤如下:

image

image

4、指令寄存器IR奇字节打入

拨动“I/O输入输出单元”开关向指令寄存器IR置数,操作步骤如下:

image

image

五、 指令总线手动搭接在线实验

1、将系统状态设置为“手动”、“搭接”。

2、IR操作方式

IR指令寄存器写入

image

image

3、实验连线

image

4、指令寄存器IR字打入

拨动“I/O输入输出单元”开关向指令寄存器IR置数,具体操作步骤如下:

image

5、指令寄存器IR偶字节打入

拨动“I/O输入输出单元”开关向指令寄存器IR偶址置数,操作步骤如下:

image

6、指令寄存器IR奇字节打入

拨动“I/O输入输出单元”开关向指令寄存器IR奇址置数,操作步骤如下:

image

实验报告

学院、系:计算机学院
专业名称:软件工程
学生姓名:小何学长

一、实验题目

十六位机运算器实验

二、实验目的与要求

实验目的

掌握十六位机字与字节运算的数据传输格式,验证运算功能发生器及进位控制的组合功能。

实验要求

完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。

三、实验步骤

将0008H写入AH寄存器,然后完成AH寄存器移位运算

image

在给定AX=6655h、BX=AA77h的情况下,改变运算器的功能设置,观察运算器的输出,填入下页表格中,并和理论分析进行比较、验证。

运算控制运算表达式MS2S1S0AXBX运算结果
带进位算术加A+B+C(进位)00006655AA77FUN=(10CC)
带借位算术减A-B-C00016655AA77FUN=(BBDE)
带进位左移RLC A00106655AA77FUN=(CCAA)
带进位右移RRC A00116655AA77FUN=(332A)
算术加A+B01006655AA77FUN=(10CC)
算术减A-B01016655AA77FUN=(BBDE)
左移RL A01106655AA77FUN=(CCAA)
右移RR A01116655AA77FUN=(B32A)
取BX值B10006655AA77FUN=(AA77)
AX取反NOT A10016655AA77FUN=(99AA)
AX减1A-110106655AA77FUN=(6654)
清零010116655AA77FUN=(0000)
逻辑或A OR B11006655AA77FUN=(EE77)
逻辑与A AND B11016655AA77FUN=(2255)
AX加1A+111106655AA77FUN=(6656)
取AX值A11116655AA77FUN=(6655)

案例:

image

四、心得体会

本节课学习了并掌握十六位机字与字节运算的数据传输格式,验证运算功能发生器及进位控制的组合功能。同时完成算术、逻辑、移位运算实验,熟悉ALU运算控制位的运用。虽然操作有点麻烦,但还是坚持完成并验证了其原理,自己的动手能力有所增强,还学到了知识,真开心啊!

文档获取

完整版的实验内容+实验报告的Word文档

下载地址:https://download.csdn.net/download/HXBest/21561593?spm=1001.2014.3001.5503

  • 4
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 2
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 2
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

hexiang@

你的鼓励是我创作的最佳动力!

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值