2021-10-05

module top_module(
    input clk,
    input in,
    input reset,    // Synchronous reset
    output [7:0] out_byte,
    output done
); //

    parameter idle = 0, start = 1, data1 = 2, data2 = 3, data3 = 4, data4 = 5, data5 = 6, data6 = 7, data7 = 8, data8 = 9, stop = 10, waitup = 11; // Use FSM from Fsm_serial
    reg [3:0] state, next;
    reg [7:0] data;
    
    always @(*) begin
        case (state)
            idle : next <= in? idle : start;
            start : next <= data1;
            data1 : next <= data2;
            data2 : next <= data3;
            data3 : next <= data4;
            data4 : next <= data5;
            data5 : next <= data6;
            data6 : next <= data7;
            data7 : next <= data8;
            data8 : next <= in? stop : waitup;
            waitup : next <= in? idle : waitup;
            stop : next <= in? idle : start;
        endcase
    end
    
    always @(posedge clk) begin
        if (reset)
            state <= idle;
        else
            state <= next;
    end
    
    assign done = (state == stop);
    
    always @(posedge clk) begin  // 移位寄存器来存储八位数据
        if (reset)
            data <= 8'd0;
        else begin
            if (next == data1 | next == data2 | next == data3 | next == data4 | next ==                 
                data5 | next == data6 | next == data7 | next == data8)
                data <= {in, data[7:1]};
        end
    end
    
    assign out_byte = done? data : 8'd0; // New: Datapath to latch input bits.

endmodule

 

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值