Systemverilog(绿皮书)第九章——功能覆盖率(三)数据采样

covergroup CovPort;
    options.auto_bin_max = 8;    //所有的coverpoint auto_bin数量是8
    coverpoint tr.port
        {    option.auto_bin_max = 2}    //特定的covepoint auto_bin数量2
    endgroup
covergroup CovKind;
    coverpoint tr.kind{
        bins zero = {0};    //1个仓代表kind==0
        bins lo = {[1:3] , 5};    //1个仓代表1:3和5
        bins hi[] = {[8:$]};      //8个独立的仓代表8:15
        bins misc = default;      //1个仓代表剩余所有值
}        //没有分号
endgroup

注意coverpoint定义使用{ }而不是begin...end大括号结尾没带分号,和end一样。 

  • 1
    点赞
  • 18
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值