Systemverilog(绿皮书)第二章——数据类型

本文介绍了Systemverilog中的数据类型,包括无符号类型(如logic、bit、reg)和有符号类型(如integer、byte等)。通过实例解析了四值逻辑与二值逻辑在赋值时的行为,以及不同位宽数值的操作。同时讨论了四值逻辑转换为二值逻辑时高位截断和x值处理的问题。
摘要由CSDN通过智能技术生成

在Verilog中,初学者经常分不清reg和wire两者的 区别,应该使用它们中哪个来驱动端口?连接不同模块时又该如何做?Systemverilog中对此做了改进,如图1所示:

图中,黄色的logic、bit、logic和reg 为 无符号类型蓝色的integer、byte、shortint、int和longint有符号类型。针对四值逻辑和二值逻辑的赋值问题,如下问题1:

logic    [7:0]    a = 8'b1000_0000;
bit      [7:0]    b = 8'b1000_0000;
byte              c = 8'b1000_0000;
initial    begin
    $display("a = %d", a);
    $display("b = %d", b);
    $display("c = %d", c);
end

打印出来的值为:128、128、-128;

解答:logic和bit 这 ;两个属于无符号数,因此a=b=128。而byte属于有符号数值,数值的首位为符号位,因此c&

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值