Systemverilog(绿皮书)第九章——功能覆盖率(四)覆盖选项

covergroup CoverLength;
    coverpoint tr.length;
    option.per_intance = 1;    //单独计算每个实例覆盖率
endgroup

注释

如果有多个covergroup实例,可以通过参数来对每个实例传入单独的注释,这些注释最终会显示在覆盖总结数据的 报告中。

covergroup CoverPort(int lo,hi, string comment);
    option.comment = comment;
    option.per_instance = 1;
    coverpoint port
        {bins range = {lo:hi};
}
endgroup
...
CoverPort cp_lo = new(0,3, "Low port numbers");
CoverPort cp_hi = new(4,7, "Highport numbers");

覆盖次数限定

(1)默认情况下,数值采样了1次就可以计入有效的bin。可以通过修改at_least来修改每个bin的数值最少的 采样次数,如果低于at_least数值,则不会被计入bin中。

(2)option.at_least可以在covergroup中声明来影响所有的coverpoint,也可以在coverpoint中声明只影响该coverpoint下所有的bin。

(3)一个covergroup或者一个coverpo

  • 3
    点赞
  • 34
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值