移位寄存器

第1关:串入串出移位寄存器

任务描述
本关任务:完成8位串入串出移位寄存器(左移)的建模,采用低电平同步复位信号。

知识点
为了完成本关任务,需要掌握的知识点如下:

串入串出移位寄存器(左移)
串入串出移位寄存器(左移)的原理图如图1所示。

图1 串入串出移位寄存器(左移)

串行数据加载到串行输入端sin,每个时钟上升沿,寄存器中的数据左移1位,最右边(最低位)的触发器存入sin端的新数据。如果寄存器由N个触发器构成,则sin端的新数据经过N个时钟周期后,就会出现在串行输出端sout。
编程要求
根据提示,在右侧编辑器中补全代码,完成8位串入串出移位寄存器(左移)的建模,采用低电平同步复位。
1位输入端口sin:串行输入数据。
1位输出端口sout:串行输出数据。

 module sreg_sipo #(parameter N = 8)(
    input                   sys_clk,
    input                   sys_
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

Ssaty.

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值