自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

愚人在思考

日常学习记录

  • 博客(13)
  • 资源 (1)
  • 收藏
  • 关注

原创 Arbiters: Design Ideas and Coding Styles--仲裁逻辑设计

论文中代码实现:https://github.com/freecores/round_robin_arbiter

2019-12-01 09:23:39 820

原创 Quartus II 18.0 创建 dcfifo IP 核仿真出现问题 Port 'eccstatus' not found 记录和解决方案

Quartus II 版本如下图所示:18.00 Build 614 04/24/2018 SJ Standard Edition在工程中例化了一个异步 FIFO配置信息如下:仿真时 Modelsim 报出了如下错误:// # vsim -t 1ps -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L alte...

2019-11-02 14:28:24 2802 1

原创 Altera LVDS TX IP 核例化综合失败--"TXOUT" port has a maximum fan-out node restriction of 1 destinations...

参考资料:Cyclone V Device Handbook (p14 Guideline: Using LVDS Differential Channels)报错信息:// Error (129036): Output port TXOUT on atom "LvdsSwitch:LvdsSwitch_inst|LvdsTxFrame:LvdsTxFrame_inst|LVDS_TX...

2019-10-31 13:44:17 1034

原创 Inter FPGA FIFO IP 核仿真

打开对应的 Modelsim 软件,Change Directory 到.do文件所在目录,在Transcript窗口输入 do ****.do;transcript onif {[file exists rtl_work]} { vdel -lib rtl_work -all}vlib rtl_workvmap work rtl_work// TB文件或源文件的引用...

2019-10-20 10:19:47 319

转载 ternary content-addressable memory (TCAM)

TCAM (ternary content-addressable memory) is a specialized type of high-speedmemorythat searches its entire contents in a singleclock cycle.The term “ternary” refers to the memory's ability to s...

2019-10-09 18:33:47 1118

原创 Modelsim Failed to open readmem file "rom.data" in read mode.

20190921更新:modelsim运行时,工程目录为当前目录,所以$readmemh 调用 rom.data文件的时候,需要添加一个相对于工程目录的路径,或者添加一个绝对路径。问题描述:使用Modelsim仿真时,用到了 $readmemh("rom.data",rom); 这行代码,其中rom.data 文件和RTL文件在同一个目录Modelsim会报:# *...

2019-09-21 16:31:52 5794 1

原创 DDR2 IP核地址总线解疑

IP核:DDR2 SDRAM Controller with UniPHY芯片:DDR2MT47H-64M16根据 Datasheet 得到的地址线信息如下图所示:可以看出,行地址+bank地址+列地址=26位但是使用 Quartus II生成的DDR2 SDRAM Controller with UniPHY IP核的地址位是25位的,差了一位,笔者在这里困扰了很长时间。...

2019-08-05 13:53:03 1153 3

原创 Modelsim 仿真 DDR2 IP核 测试 和自定义仿真平台搭建 IP核仿真

说明:本文分为两部分,第一部分仿真QuartusII自己生成的DDR2 example, 第二部分为添加自己写的tesetbench文件仿真DDR2关键词:DDR2仿真、Modelsim软件:Quartus II 15.0、ModelSim-Altera 10.3dIP核:DDR2 SDRAM Controller with UniPHY v15.0目录一、ddr2ip_exa...

2019-08-01 12:24:43 2180 1

原创 重装系统之Office全家桶安装

工具下载:https://otp.landian.vip/zh-cn/下载完成是一个压缩包,解压后如图所示:1. 双击打开Office Tool Plus.exe2. 点击左侧管理,查看计算机已有 Office 版本,如果需要安装新的,需要将原来的卸载。此次笔者假设大家都是在没有 Office 的电脑上全新安装。3. 下载 安装文件,是网速情况要下载一段时间。...

2019-06-06 08:17:09 2012

原创 C# 实现无边框窗体拖动

将主窗体FormBorderStyle更改为None,这样就得到了一个无边框的窗体添加引用:using System.Runtime.InteropServices;在主窗体的Mouse_Down中添加如下事件,实现窗体随意拖动:#region 基本设置[DllImport("user32.dll")]public static extern bool ReleaseCa...

2019-04-07 15:16:39 703

原创 以太网协议 | ARP协议详解-ARP报文结构解析

笔者在 FPGA 上用到以太网,记录以下知识点,同时分享给你,希望对你有帮助,文中有什么错误的地方,请批评指正。目录一、ARP协议在以太网中传输的帧结构如下图所示:二、 数据包每个部分介绍:三、ARP 请求抓包截图一、ARP协议在以太网中传输的帧结构如下图所示:二、 数据包每个部分介绍:以太网目的地址(6Byte):当发送 ARP 请求时此处全...

2019-03-30 15:20:39 8124

原创 如何计算DDR2存储器空间大小

笔者刚开始学习 FPGA由于项目需要用到了一片 DDR2 芯片,不知道怎么计算大小,看了很多教程比较乱,在这里简单总结一下。首先说明一下这里用到的 DDR2 型号为MT47H64M16。 以下是正文。目录一、内部存储结构二、了解芯片信息1. 查找芯片信息2. 计算大小一、内部存储结构关于存储空间的计算涉及的主要概念就是逻辑 Bank,简单来...

2019-02-20 19:44:47 2403

原创 腾讯云服务器Ubuntu多版本安装virtualenv虚拟环境

废话不多说,腾讯云服务器,安装的 Ubuntu Server 16 LTS 64 位系统,在这里记录一下首先更新一下 ubuntu 的源,这里使用的 tsinghua 的软件源sudo vim /etc/apt/sources.list 将原文件的内容注释,将下边的内容填入。# 默认注释了源码镜像以提高 apt update 速度,如有需要可自行取消注释deb https...

2019-02-06 20:06:46 414

Timegen3.3.5.rar

现在论坛的timegen绘制软件基本都是老版本3.2或3.1的。目前官网最近的timegen为3.3.5版本,附件包括官网2017.5.20最近软件,还有货真价实的和谐补丁。 注:NOD32可能会误报病毒,但这个破解补丁绝对没问题。

2019-09-05

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除