【学习笔记】《FPGA至简设计原理与应用》——4位闪烁灯设计

本文为明德扬原创及录用文章,转载请注明出处!
作者:一条咸鱼

个人感想:

本文首先分析了至简设计法案例—4位闪烁灯,然后通过该案例举一反三,实现了一个交通灯的功能。通过修改最重要的2个计数器cnt0、cnt1的代码,并且仅修改了1个数字和信号变化条件,就实现了交通灯案例。案例代码的模块化与规范化为代码的移植与修改提供了很大的便利,节省了大量的时间。

设计目标:

使用4个LED灯—LED1~LED4,实现一个呼吸灯的功能。这4个灯具体的变化情况为:第一个灯隔1秒,亮1秒后变暗;然后第2个灯隔1秒,亮2秒后变暗;然后第3个灯隔1秒,亮3秒后变暗;最后第4个灯隔1秒,亮4秒后变暗。之后循环往复。

信号设计:

对于LED0,复位后,先灭1秒,亮1秒,然后再灭12秒;对于LED1,复位后,先灭3秒,亮2秒,然后再灭9秒,循环往复;对于LED2,复位后,先灭6秒,亮3秒,然后再灭5秒,循环往复;对于LED3,先灭10秒,亮4秒,循环往复。(注:设计目标中的LED1~ LED4指的是开发板上的4个LED灯,信号设计中的LED0~ LED3指的是设计输出的4个信号。)

波形图:在这里插入图片描述

工程实现思想:

本工程需要2个计数器,一个cnt0用于计算1秒钟,一个cnt1用于计算一个周期14秒钟。计数器的设计与本书的第1个案例的计数器设计相同,这里不再赘述。输出信号LED0,LED1,LED2,LED3根据2个计数器计数的状态来判定是变0还是变1。这里以led0为例,led0有两种变化点:变0和变1。变0的原因都是计数到1秒的时间,也就是add_cnt1&&cnt11-1时,led0变0.变1的原因,则是数到2秒时间时,即add_cnt1&&cnt12-1时,led0变1。其余信号变换以此类推。

案例扩展:

还可以对本案例进行扩展,例如交通灯。假设一个十字路口的交通灯,分为东西南北四个方向。每个方向红灯持续10秒,绿灯持续7秒,黄灯持续3秒。这里便可套用本案例的思想与框架。每个方向的红、绿、黄的三个灯的变化可以看作一组3位闪烁灯的变化。

交通灯波形图:在这里插入图片描述

注:南北方向的信号相同,东西方向的信号相同。这里设南北方向的红绿灯信号为red_led0、yellow_led0、green_led0;东西方向的红绿灯信号为red_led1、yellow_led1、green_led1。

案例扩展代码:

modulejiaotongled(
clk,
rst_n,
        red_led0,
        yellow_led0,
        green_led0,
        red_led1,
        yellow_led1,
        green_led1
);
 
input   clk;
input   rst_n;
 
output  red_led0;
output  yellow_led0;
output  green_led0;
output  red_led1;
output  yellow_led1;
output  green_led1;
 
reg[28:0]  cnt0;
reg[4:0]   cnt1;
 
wire       add_cnt0;
wire       end_cnt0;
wire       add_cnt1;
wire       end_cnt1;
 
reg  red_led0;
reg  yellow_led0;
reg  green_led0;
reg  red_led1;
reg  yellow_led1;
reg  green_led1;
 
always @(posedgeclkor negedgerst_n)begin
    if (!rst_n)begin
        cnt0 <= 0;
    end
    else if(add_cnt0)begin
        if(end_cnt0)  
            cnt0 <= 0;
        else
            cnt0 <= cnt0 + 1;
    end
end
 
assignadd_cnt0 = 1;
assignend_cnt0 = add_cnt0 && cnt0 == 50_000_000-1;
 
always@(posedgeclk or negedgerst_n)begin
    if (!rst_n)begin
        cnt1 <= 0;
    end
    else if(add_cnt1)begin
        if(end_cnt1)  
            cnt1 <= 0;
        else
            cnt1 <= cnt1 + 1;
    end
end
 
assignadd_cnt1 = end_cnt0;
assignend_cnt1 = add_cnt1 && cnt1 == 20-1;
 
always  @(posedgeclk or negedgerst_n)begin //南北方向红灯
    if(rst_n==1'b0)begin
        red_led0 <= 1;
    end
    else if(add_cnt0 && cnt1==1-1)begin
        red_led0 <= 0;
    end
    else if(add_cnt1 && cnt1==10-1)begin
        red_led0 <= 1;
    end
end
 
always  @(posedgeclk or negedgerst_n)begin //南北方向黄灯
    if(rst_n==1'b0)begin
        yellow_led0 <= 1;
    end
    else if(add_cnt1 && cnt1==17-1)begin
        yellow_led0 <= 0;
    end
    else if(end_cnt1) begin
        yellow_led0 <= 1;
    end
end
 
always  @(posedgeclk or negedgerst_n)begin  //南北方向绿灯
    if(rst_n==1'b0)begin
        green_led0 <= 1;
    end
    elseif(add_cnt1 && cnt1==10-1) begin
        green_led0 <= 0;
    end
    else if(add_cnt1 && cnt1==17-1)begin
        green_led0 <= 1;
    end
end
 
always  @(posedgeclk or negedgerst_n)begin //东西方向红灯
    if(rst_n==1'b0)begin
        red_led1 <= 1;
    end
    else if(add_cnt1 && cnt1==10-1)begin
        red_led1 <= 0;
    end
    else if(end_cnt1) begin
        red_led1 <= 1;
    end
end
 
always  @(posedgeclk or negedgerst_n)begin //东西方向黄灯
    if(rst_n==1'b0)begin
        yellow_led1 <= 1;
    end
    else if(add_cnt1 && cnt1==7-1)begin
        yellow_led1 <= 0;
    end
    else if(add_cnt1 && cnt1==10-1)begin
        yellow_led1 <= 1;
    end
end
 
always  @(posedgeclk or negedgerst_n)begin  //东西方向绿灯
    if(rst_n==1'b0)begin
        green_led1 <= 1;
    end
    else if(add_cnt0 && cnt1==1-1)begin
        green_led1 <= 0;
    end
    else if(add_cnt1 && cnt1==7-1)begin
        green_led1 <= 1;
    end
end
 
endmodule

交通灯仿真结果:在这里插入图片描述

个人感想:

交通灯的代码基本继承于4位闪烁灯的代码,其中最重要的2个计数器cnt0、cnt1的代码也只是改了1个数字,其它也只是修改了一下信号变化的条件。案例的代码的模块化与规范化为代码的移植与修改提供了很大的便利,节省了大量的时间。

  • 0
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值