博客摘录「 【FPGA学习笔记】Modelsim使用教程、modelsim常用快捷键、FPGA的仿真流程」2024年2月19日

前仿真(功能仿真):主旨在于验证电路的功能是否符合设计要求,其特点是不考虑电路门延迟与线延迟。在完成一个设计的代码编写工作之后,可以直接对代码进行仿真,检测源代码是否符合功能要求。仿真的对象为HDL代码。

后仿真(时序仿真):在布局布线后进行的仿真称为时序仿真,时序仿真可以真实地反映了逻辑的时延与功能,综合考虑电路的路径延迟与门延迟的影响,验证电路能否在一定时序条件下满足设计构想的过程,是否存在时序违规。

  • 9
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
FPGA开发中,ModelSim是一款常用仿真器,特别适用于RTL级和门电路级电路仿真。它支持VHDL和Verilog HDL的混合仿真,并且具有快速的编译速度。ModelSim有几个版本,包括AE、XE和SE,其中AE和XE是专门集成在FPGA厂家设计工具中的版本,而SE是版本最高且编译速度最快的版本。\[1\] ModelSim的使用可以分为两种情况。第一种是直接使用ModelSim软件进行仿真,也就是手动仿真。第二种情况是通过其他EDA工具如Quartus II调用ModelSim进行仿真,这种情况通常被称为自动仿真或联合仿真。\[2\] 在手动仿真中,可以看到顶层文件和例化的对应模块的信号波形。而在联合仿真中,只能看到例化部分引出的信号的波形。有时,直接将手动仿真的文件添加到联合仿真中可能无法正常工作,此时可以在ISE中新建一个tb文件,并将代码复制进去即可。\[3\] #### 引用[.reference_title] - *1* *3* [FPGA软件篇——Modelsim使用](https://blog.csdn.net/lgyLGY35/article/details/113869917)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *2* [【FPGA学习笔记Modelsim使用教程modelsim常用快捷键FPGA仿真流程](https://blog.csdn.net/yang_jiangning/article/details/105155633)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值