基于模型设计的FPGA开发与实现:滤波器设计与实现(三)Matlab中滤波器的HDL代码生成

 

MBD-FPGA 开发与实现

http://blog.sina.com.cn/McCrocodile

这里要介绍的内容可以在帮助文档Filter Designer HDL Coder章节查找到相应的内容,包括:如何在Matlab中生成滤波器的HDL代码,以及对生成的HDL代码加以测试(联合仿真)。

1、代码生成GUI的启动:

主要有三种方式:从Fdatool启动,从filterbuilder启动,命令行启动。

1)如下图所示从fdatool中启动代码生成,但是在这个之前你需要量化(定点化)滤波器Set Quantization Parameters ,甚至设置滤波器的结构Filter arithmetic。

2)filterbuilder中直接在代码生成面板Code Generation中,单击Gene

  • 0
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 4
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 4
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值