【IEEE_Verilog-4.6.4】tri0和tri1的用法总结

4.6.4 Tri0 and tri1 nets

tri0和tri1 net模型分别具有下拉电阻和上拉电阻作用于它们。tri0 net等同于具有pull强度连续0值驱动它的wire net。tri1 net等同于具有pull强度连续1值驱动它的wire net。
当没有驱动器驱动tri0 net时,它的值是具有pull强度的0。当没有驱动器驱动tri1 net时,它的值是具有pull强度的1。当有驱动作用于tri0和tri1 net时,驱动器与隐含驱动net上的具有pull强度的值去决定net的最终值。逻辑强度建模的讨论见7.9。
表4-5和表4-6是对具有strong强度的tri0和tri1 net的多个驱动建模的真值表。关于net的结果值具有强度strong,除非两个驱动器都是z,在这种情况下,net具有pull强度。

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值