FPGA入门学习第二天(点亮LED灯)

学习目的

  1. 学会通过代码控制FPGA管脚的高低电平
  2. 学会利用QuartusII 11.0新建工程
  3. 学会查看原理图,并根据原理图来分配管脚
  4. 学会下载SOF文件,以及生成JIC配置文件,并固化到配置芯片中

学习内容

通过FPGA点亮开发板上的LED灯

学习平台

1.小精灵开发板
2. QuartusII 11.0(综合编译软件)


原理分析

我们还是通过经典的实验例程来掌握设计流程及Verilog语法。今天我们设计一个点亮LED灯的实验。若要点亮开发板上的LED灯,我们首先要能看懂原理图。首先看一下小精灵V0开发板LED灯部份的电路图
在这里插入图片描述
要点亮LED灯,就必须有电流从LED二极管中流过,那么LED正负两端需要有电压差,从图中可以看出LED正极接的是3.3V,那么LED负端接地,就可以点亮LED灯
所以如果我们要点亮D8,那么FPGA给IO32管脚输出0即可。

代码展示

module led_ctrl(
output led
);
assign led=0;//assign语句,可以直接给输出管脚或者wire类型寄存器赋值。

具体语法可查看夏宇闻老师编写的《Verilog数字系统设计教程》

结语

由于该程序非常简单,我们可以省去仿真的过程,直接新建Quartusii工程,由于步骤比较多,不方便上传图片,请自行下载pdf格式,包含工程源码,视频教程:
链接:https://pan.baidu.com/s/1LRPfsNM0fr9wf48C5yHUBg
提取码:18ua

  • 3
    点赞
  • 13
    收藏
    觉得还不错? 一键收藏
  • 3
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 3
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值