【无标题】

UVM_VHD_READ的用法中,void的使用体现了上述特点。例如,在处理读取硬件描述语言(HDL)信号时,使用void可以确保函数或任务专注于执行读取操作,而不关心返回值。这种用法有助于简化代码逻辑,使代码更加专注于执行特定的任务,而不是处理返回值。此外,通过使用void,可以避免因不必要的数据处理而产生的复杂性和潜在的错误‌

uvm中使用uvm_hdl_read的注意事项 - SOC验证工程师 - 博客园 (cnblogs.com)

uvm_hdl_force, uvm_hdl_read, uvm_hdl_deposit

      logic hdl_rd_logic;
      bit   hdl_rd_bit;
      void'(uvm_hdl_read("tb_top.clk",hdl_rd_bit));

//相当于把tb_top.clk的值读到hdl_rd_bit上的,其次hdl_rd_bit的类型数据宽度要于rtl保持一致
      void'(uvm_hdl_read("tb_top.clk_x",hdl_rd_logic));

void'(uvm_hdl_read("rtl某个信号的绝对路径",信号变量名));

例子:rtl某个

void'(uvm_hdl_force("rtl某个信号的绝对路径",数值));

  • 3
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值