自定义博客皮肤VIP专享

*博客头图:

格式为PNG、JPG,宽度*高度大于1920*100像素,不超过2MB,主视觉建议放在右侧,请参照线上博客头图

请上传大于1920*100像素的图片!

博客底图:

图片格式为PNG、JPG,不超过1MB,可上下左右平铺至整个背景

栏目图:

图片格式为PNG、JPG,图片宽度*高度为300*38像素,不超过0.5MB

主标题颜色:

RGB颜色,例如:#AFAFAF

Hover:

RGB颜色,例如:#AFAFAF

副标题颜色:

RGB颜色,例如:#AFAFAF

自定义博客皮肤

-+

  • 博客(42)
  • 收藏
  • 关注

原创 ALTIUM密

进入电子设个世界,PCB是少不了的东西,刚开始画板子的时候,感觉好神奇。那个时候用的是Altium Designer Summer 08 ,现在用的是Altium Designer Winnter 09 ,感觉跟Altium Designer 6.9 也没多大差别,只是功能上更新了点,视觉上变得更加炫了。偶尔逛论坛,或者自己发现,有好未知的秘密,放在这里,已知的未知的,Let‘s see see。

2012-03-13 17:40:16 159

原创 0欧电阻

在电路中没有任何功能,只是在PCB上为了调试方便或兼容设计等原因。2,可以做跳线用,如果某段线路不用,直接不贴该电阻即可(不影响外观)3,在匹配电路参数不确定的时候,以0欧姆代替,实际调试的时候,确定参数,再以具体数值的元件代替。4,想测某部分电路的耗电流的时候,可以去掉0ohm电阻,接上电流表,这样方便测耗电流。5,在布线时,如果实在布不过去了,也可以加一个0欧的电阻6,在高

2012-03-13 17:39:02 393

原创 3W,20G,5-5原则

3W原则:       这里3W是线与线之间的距离保持3倍线宽。你说3H也可以。但是这里H指的是线宽度。不是介质厚度。是为了减少线间串扰,应保证线间距足够大,如果线中心距不少于3倍线宽时,则可保持70%的线间电场不互相干扰,称为3W规则。如要达到98%的电场不互相干扰,可使用10W规则。针对EMI 20H原则:       是指电源层相对地层内缩20H的距离,当然也

2012-03-13 17:38:05 615

原创 如何以2進位顯示8位數的七段顯示器? (SOC) (Verilog) (DE2)

七段顯示器在DE2可當成Verilog的console,做為2進位的輸出結果。Introduction使用環境:Quartus II 7.2 SP3 + DE2(Cyclone II EP2C35F627C6)簡單的使用switch當成二進位輸入,並用8位數的七段顯示器顯示2進位的結果。Verilog / SWITCH_SEG7.v1 /* 2 (C) OO

2012-03-13 17:33:18 1052

转载 always block內省略else所代表的電路 (SOC) (Verilog)

Abstract在Verilog中,always block可以用來代表Flip-Flop, Combination Logic與Latch,本文比較在不寫else下,always block所代表的電路。Introduction在C語言裡,省略else只是代表不處理而;已但在Verilog裡,省略else所代表的是不同的電路。always@(a or b or en)

2012-03-13 16:46:22 2690

原创 STM32 FSMC操作SRAM实例

#include "fsmc_sram.h"#include static FSMC_NORSRAMInitTypeDef  FSMC_NORSRAMInitStructure;  /* 在函数内定义会出现莫名奇妙的错误 */ /*****************************************************************************

2012-03-13 16:33:42 1157

原创 spi总线ARM与其他MCU接法实例

其中PD口为ARM的IO口,是数据线,MISO,MOSI,MAST CLK.SLAVECL

2012-03-13 16:31:42 591

原创 常用数字芯片电路接法

2012-03-13 16:16:57 560

原创 达林顿驱动器ULN2003,ULN2803使用注意要点

图中COM端必须按负载那一段的电压而决定其加多大的电压,如果负载时5V则加5V,负载12V则加12V,也可以不加,其内部结构有二极管起保护

2012-03-13 15:51:42 7103

原创 ARM与FPGA功耗参考

功耗的重要性对一个电子系统来说何其重要,决定了其PI(电源)和S(信号)I的完整性,也决定了系统的供电方案

2012-03-13 15:18:38 395

原创 ARM和FPGA集成系统电源方案

2012-03-13 15:14:27 321

原创 旁路和去耦

旁路和去耦可以防止能量从一个电路传到另一个电路,从而提高系统配电网络的质量,主 要用于三种相关的电路区:电源和地参考平面、元件和内部电源连接。去耦电容器可以将高频元件在高速开关时在电源分布网络产生的RF 能量移除,也为元器件提供了一个局部的DC 电源,对降低在电路板上的峰值浪涌电流的传播特别有效。旁路电容器能够从元件或电路上移除不需要的RF 噪声,避免其耦合到其他区域或相互耦合,另

2012-03-13 15:13:15 348

原创 FPGA AD5624配置

module configue_dac(  clk,  rst,  wr_en,  reg_data,  sync,  sclk,  sdata,  state,  cnt,  serial_clk,  reg_load,  reg_latch);input clk,rst;input wr_en;input [23:0] reg

2012-03-13 14:59:12 672

原创 典型的按键开关机电路

其中俩个与非门构成RS触发器,数电里面有

2012-03-13 14:55:51 622

原创 232转485电路,经过验证生产

2012-03-13 14:42:00 1074

原创 STM32 485和LABVIEN上位机通讯

* STM32向串口3发送1字节                                           *//*                                                                 *//*

2012-03-13 14:38:09 762

原创 STM32 NORFALSH驱动程序

/* Includes ------------------------------------------------------------------*/#include "fsmc_nor.h"/* Private define ------------------------------------------------------------*/#define NOR_F

2012-03-13 14:34:43 503

原创 stm32 NANDFLASH相关操作

void FSMC_NAND_Init(void){  GPIO_InitTypeDef GPIO_InitStructure;   FSMC_NANDInitTypeDef FSMC_NANDInitStructure;  FSMC_NAND_PCCARDTimingInitTypeDef  p;  /*使能FSMC总线时钟*/  RCC_AHBPeriphClockCm

2012-03-13 14:33:04 1241

原创 stm32软件IIC读取EEPROM 24LC08

#define SCL_H         GPIO_SetBits(GPIOB , GPIO_Pin_6)#define SCL_L         GPIO_ResetBits(GPIOB , GPIO_Pin_6)   #define SDA_H         GPIO_SetBits(GPIOB , GPIO_Pin_7)#define SDA_L         GPI

2012-03-13 14:29:35 2323

原创 stm32硬件设计要点

stm32IO口电压为3.3V,设计时必须注意,DATASHEET中端口标注为“FT”既兼容5V电平而当其用到传感器和AD器件时,VDDA和VDD须通过电感链接

2012-03-13 14:25:02 872

原创 常用仪器使用

<br />第三章      常用仪器使用<br />第一节  万用表<br />万用表是维修中最常用的仪表,它的功能较多,可以用来测量电压、电流和电阻,还可以测试二极管、三极管和场效应管等。万用表有指针式和数字式两种。<br />一、指针万用表的使用<br />指针式万用表在维修中常用。它具有指示直观,测量速度快等优点,但它的输入电阻小,误差较大,所以一般用于测量可变的电压、电流值,通过观察表头指针的摆动来看电压、电流的变化范围。指针式1、万用表在使用中的注意事项:<br />(1)插孔和转换开关的使用<

2010-12-27 09:51:00 591

原创 第二届全国研究生集成电路电子设计竞赛试题

<br />第二届笔试题<br />1.(4分)请简要说明CIF,EDIF,GDSⅡ的意义及用途。<br />2.(4分)在亚微米设计中,互连线的影响是十分重要的,互连线会给晶体管增加负载,是由于______、_____、_____、_____造成。从而导致信号_____、功率_____、电压_____、时间_____。<br />3.(4分)在亚微米设计中,电子迁移是由_____造成的。它使连线变细,最终断开,引起器件失效。<br />4.(4分)对付寄生参数,经常采用的方法有:①使用导电性能好的____

2010-12-27 09:49:00 706

原创 直流伺服电机定速控制实验

<br />************************************************<br />* FileName:     ex9.asm                        *<br />* Description:  直流伺服电机定速控制实验       *<br />* Copyright(C) SanZhi Electronic, Author Zpin  *<br />**********************************************

2010-12-27 09:41:00 699

原创 CCS环境下-四相编码脉冲压缩雷达算法研究

#include#define a0 0#define a1 90#define a2 127 /*8bit量化 */#define N 8#define M 1041#pragma DATA_SECTION(OUT,"bufffer1")int _cosx1[N] , _cosx2[N] , _cosx3[N] , _cosx4[N];int tc1[10*N] , tc2[10*N] , tc3[10*N] , tc4[10*N

2010-12-27 09:40:00 360

原创 TMS320C54XDSP与直流伺服电机定速控制实验代码

<br /><br />TMS320C54XDSP与直流伺服电机定速控制实验代码<br />这是用CCS编写的DSP汇编程序,可以实现TMS320C54XXDSP与直流伺服电机定速控制实验<br /><br />************************************************<br />* FileName: ex9.asm            *<br />* Description: 直流伺服电机定速控制实验    *<br />* Copyright(C) SanZh

2010-12-27 09:37:00 669

原创 TMS320C5509的WDT的例程源码

<br /><br />TMS320C5509的WDT的例程源码<br />/*<br />* Copyright 2003 by Texas Instruments Incorporated.<br />* All rights reserved. Property of Texas Instruments Incorporated.<br />* Restricted rights to use, duplicate or disclose this code are<br />* granted th

2010-12-27 09:36:00 473

原创 DSP中的键盘控制程序的算法

<br />DSP中的键盘控制程序的算法<br />DSP中的键盘控制程序的算法,基于TI公司的5509这款DSP芯片<br /><br />clude "scancode.h"<br /><br />#define REGISTERCLKMD (*(unsigned int *)0x58)<br />ioport unsigned int port8000;<br />ioport unsigned int port8001;<br />ioport unsigned int port8002;<br /

2010-12-27 09:35:00 507

原创 DSP中的综合交通灯演示实例的算法

<br />DSP中的综合交通灯演示实例的算法<br />DSP中的综合交通灯演示实例的算法,基于TI公司的5509这款芯片<br /><br />#include "scancode.h"<br /><br />#define SPSA0 *(unsigned int *)0x38<br />#define SPSD0 *(unsigned int *)0x39<br />#define REGISTERCLKMD (*(unsigned int *)0x58)<br />#define TIM *(in

2010-12-27 09:34:00 952 1

原创 DSP复习题库

<br />填空类<br />1、C54x是TI公司      定点DSP。<br />2、C54x采用多总线结构,其中     为程序总线,    为数据总线,    为写总线。<br />3、C54x内核CPU包含一个     的ALU算术逻辑运算单元,    个40bit的累加器和一个40bit的桶形移位寄存器。<br />4、对进入ALU的数进行符号扩展的方法为:当     时不进行符号位扩展,     时进行符号位扩展。<br />5、在ALU中运算结果产生溢出时,若      ,则不对结果做任何

2010-12-27 09:33:00 867

原创 模拟数字电子知识

<br />模拟电路<br />1、基尔霍夫定理的内容是什么?<br />基尔霍夫定律包括电流定律和电压定律<br />电 流定律:在集总电路中,任何时刻,对任一节点,所有流出节点的支路电流的代数和恒等于零。<br />电压定律:在集总电路中,任何时刻,沿任一回路,所有支 路电压的代数和恒等于零。<br />2、描述反馈电路的概念,列举他们的应用。<br />反馈,就是在电子系统中,把输出回路中的电量输入到输入回路中去。<br />反 馈的类型有:电压串联负反馈、电流串联负反馈、电压并联负反馈、电流并联负反

2010-12-27 09:29:00 560

原创 DSP复习题库

<br />填空类<br />1、C54x是TI公司      定点DSP。<br />2、C54x采用多总线结构,其中     为程序总线,    为数据总线,    为写总线。<br />3、C54x内核CPU包含一个     的ALU算术逻辑运算单元,    个40bit的累加器和一个40bit的桶形移位寄存器。<br />4、对进入ALU的数进行符号扩展的方法为:当     时不进行符号位扩展,     时进行符号位扩展。<br />5、在ALU中运算结果产生溢出时,若      ,则不对结果做任何

2010-12-27 09:29:00 1295

原创 DSP的CMD文件写法综述

<br />DSP的CMD文件写法综述<br />DSP的存储器的地址范围,CMD是主要是根据那个来编的。<br /><br />         CMD 它是用来分配rom和ram空间用的,告诉链接程序怎样计算地址和分配空间。不同的芯片就有不同大小的rom和ram.放用户程序的地方也不尽相同。所以要根据芯片进行修改.分两部分.MEMORY和SECTIONS。<br />MEMORY<br />{ <br />PAGE 0 .......... <br />PAGE 1.........<br />} <b

2010-12-27 09:24:00 388

原创 基于ARM和DM9000的网卡接口设计与实现

<br />基于ARM和DM9000的网卡接口设计与实现<br /> <br />摘要:针对ARM CPU S3C2410的特点,设计开发了外围网卡接口平台,通过驱动程序对以太网控制芯片DM9000的控制,实现了网络数据传输功能。硬件方面主要涉及以太网网络接口的设计,软件方面主要是设计以太网控制芯片驱动程序。该嵌入式系统网络接入方案具有硬件接口简单、外围器件少、价格低廉、开发周期短等特点。<br />  关键词:ARM; DM9000; 网卡,YL18-2050S <br />引言<br />  要实现小型

2010-12-27 09:22:00 599

原创 最全的单片机习题库

<br /> <br /> <br /> <br /> <br /> <br /> <br /> <br />《单片机原理及应用》<br />习题库<br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br /> <br />单    位:广东松山职业技术学院<br />电气工程系自动化教研室<br />编    者: 田亚

2010-12-27 09:18:00 3783

原创 C程序考试题

1.构成一个C程序的是( ) A)若干个主函数和最多一个非主函数 B)若干个主函数和若干个非主函数C)一个主函数和最多一个非主函数 D)一个主函数和若干个非主函数2.合法的C语言标识符是(  )。A) _a1 B)a+b C)3abc D)AB,CD3.表达式a++-c+b++的值是( ) 其中 a=4,b= -5,c=6A)-4    B) -7   C)-6  D)-54.以下程序段的输出结

2010-12-27 09:15:00 624

原创 射频知识

<br />阻抗:专指"交流电里的阻力、抗力。阻抗并不是单一的的东西,它是由直流电流的阻力(Resistance)、电感对频率的反应特性(感抗,Inductive Reactance)以及电容对频率的阻力特性(容抗,Capacitive Reactance)所组成。不过,由于通常我们谈到阻抗值多少时,仅以欧姆表示,所以很容易让人误以为阻抗仅是单纯的"直流电流的阻力”而已。<br />[AD:音、视频、灯光大型电子商务平台:http://www.ce001.com]<br />    [AD:专业二手卖场:h

2010-12-27 09:11:00 439

原创 TD-SCDMA理论试题

<br /> <br />一、填空题(每题2分,共20分)<br />1、  移动通信的主要目的就是(5W)既实现任何人、任何时间、任何地点、和任何通信对象以任何一种方式的通信。<br />2、  “蜂窝(技术)”的英文是Cellular,它是一种无线通信技术。这种技术是把一个地理区域分成若干个小区,称作“蜂窝”(即Cell),蜂窝技术因此而得名。将一个大的地理区域分割成多个“蜂窝”的目的,是充分利用有限的无线传输频率。<br />3、   按QoS类别,3G业务可分为会话类业务、背景类业务、交互   类业

2010-12-27 09:07:00 607

原创 TD-SCDMA理论试题

<br /> <br />一、填空题(每题2分,共20分)<br />1、  移动通信的主要目的就是(5W)既实现任何人、任何时间、任何地点、和任何通信对象以任何一种方式的通信。<br />2、  “蜂窝(技术)”的英文是Cellular,它是一种无线通信技术。这种技术是把一个地理区域分成若干个小区,称作“蜂窝”(即Cell),蜂窝技术因此而得名。将一个大的地理区域分割成多个“蜂窝”的目的,是充分利用有限的无线传输频率。<br />3、   按QoS类别,3G业务可分为会话类业务、背景类业务、交互   类业

2010-12-27 09:06:00 517

原创 协议

AAL5类业务<br />ATM适配层利用ATM层提供的传输ATM-SDU的能力,向外部提供传送AAL-SDU的能力。<br />AAL5适配层是ITU-T提出的用于进行数据传送的ATM适配层协议,由于充分考虑了数据传输高可靠性的需求,在协议处理中对数据段进行了相应的校验保证,是一种低开销而纠错能力较好的适配协议;所以在的信令协议栈中,AAL5适配层用于承载Iux口的业务信令以及IuPS接口上的业务数据。AAL5分层结构<br />AAL5适配层可以分成CS和SAR两个子层,其中CS子层又可以分成SSCS和

2010-12-27 09:03:00 443

原创 正弦波输出变压变频电源系统DSP(http://huarm.taobao.com/ )

<br />摘要:介绍了正弦波输出变压变频电源系统。对正弦波输出变压变频电源三种SPWM调制方式厦数字化控制策略进行了研究,以期得到一种较理想的调制方式,使变压变频电源的开关管损耗、可靠性及输出电压质量得以改善。并以TMS320F240数字信号处理器为主控芯片,实现逆变电源变频、变压输出,最后给出实验结果。<br />关键词:变压变频;正弦脉宽调制;谐波分析;多环控制<br />中图分类号:TM46 文献标识码:A 文章编号:()219 2713(2005106 0025—05 <br /><br />O

2010-12-27 00:35:00 1437 1

空空如也

空空如也

TA创建的收藏夹 TA关注的收藏夹

TA关注的人

提示
确定要删除当前文章?
取消 删除