FPGA笔记(四)

FPGA笔记(四)

又到了周末,今天晚上感觉还有些状态,这几天状态都不好,也没有好好学习!这可是不行的,要好好努力!加油!Rome is not built in one day!

今天在看消抖的列子,深深地觉得模块化的思想在FPGA里同样适用,此外,想想,如果换做我自己来写整个消抖的程序,做不到这么规范,也细写不下去,认清了目前这个现实,明白要走的路还远着呢!


学习了比较多的东西,比如:

1、复位的一瞬间,电平是不平稳的,需要延时100us。(这个我自己想不到)

2、阻塞赋值与非阻塞赋值的区别(之前一直没看懂)

3、区分电平到底是从高到低还是从低到高的变换(感觉豁然开朗)


这个检测模块如下所示:

module detect_module(
CLK,//时钟
RSTn,//复位
Pin_In,//
H2L_Sig,
L2H_Sig
);
input CLK;
input RSTn;
input Pin_In;
output H2L_Sig;
output L2H_Sig;

parameter T100US=11'd4999;

reg[10:0] Count1;
reg isEn;

always@(posedge CLK or negedge RSTn)
begin
if(!RSTn)//复位后的初始化
begin
Count1<=11'b0;
isEn<=1'b0;
end
else if(Count1==T100US)
isEn<=1'b1;
else
Count1<=Count1+1'b1;
end

  • 3
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
作为一名FPGA工程师,你可以通过以下方法来进行学习和实践: 1. 首先,熟悉FPGA的基础语法和概念。这包括了理解数字电路和信号处理的基础知识,以及了解FPGA代码的规范写法。你可以参考引用中提到的方法,先学习基础语法,再扎实相关知识。 2. 掌握不同的FPGA设计方式。除了使用原理图设计方式,你还可以尝试使用描述语言(如Verilog)进行设计。Verilog已经在FPGA开发和IC设计领域占据了领导地位,可以更好地满足实际项目的需求。你可以参考引用中的内容,了解Verilog的基础知识和逻辑电路的表示方式。 3. 在实践中提高设计能力。通过实际的项目设计和实现,你可以进一步提高自己的FPGA工程能力。尝试使用不同的开发工具,熟悉开发流程和操作。在实践中,你可以学习解决实际问题的方法和技巧,提高自己的设计能力。 4. 注意资源的合理使用。在FPGA设计中,要慎用%和/运算符,因为它们可能会消耗大量的资源。如果你的FPGA有内嵌的硬件乘法器或者除法器,可以使用它们来代替%,/运算符。而对于乘或除2的倍数次的操作,可以使用位移运算符来代替,这样可以减少资源的消耗。参考引用中的内容了解更多关于资源消耗的注意事项。 总结起来,作为一名FPGA工程师,你需要熟悉FPGA的基础语法和概念,掌握不同的设计方式,通过实践不断提升自己的设计能力,并注意资源的合理使用。这样,你将能够更好地进行FPGA工程师的学习和实践。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值