SWJTU计算机组成实验C-实验四 算术逻辑单元(ALU)设计

该实验旨在设计一个8位算术逻辑单元(ALU),详细介绍了实验目的,并提供了程序代码。实验参照《计算机组成实验C》指导书,包含了ALU的引脚锁定参考信息。
摘要由CSDN通过智能技术生成

实验目的,实验目的,说明实验仪器、设备等说明参见《计算机组成实验C》实验及课程设计指导书

程序代码

8bit ALU设计:

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;

   PORT(INPUT_D : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
       CTRL_S : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
       SEL, WT, CIN, CLK : IN STD_LOGIC;
       COUT : BUFFER STD_LOGIC;
       OUTPUT_F : BUFFER STD_LOGIC_VECTOR(7 DOWNTO 0);
       DIGITAL_DATA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
       SEG_SEL : OUT STD_LOGIC_VECTOR(2 DOWNTO 0));
END ENTITY ALU_8BIT;

ARCHITECTURE FRAME1 of ALU_8BIT IS
   SHARED VARIABLE REG_A, REG_B : STD_LOGIC_VECTOR(7 DOWNTO 0);
   SHARED VARIABLE RECEIVE : STD_LOGIC_VECTOR(8 DOWNTO 0);
   SIGNAL OUTPUT_SEL : STD_LOGIC_VECTOR(2 DOWNTO 0);
   SIGNAL DA
  • 1
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值