SWJTU计算机组成实验C-实验七 指令存储器与取指令部件的设计

实验目的,说明实验仪器、设备等说明参见《计算机组成实验C》实验及课程设计指导书。

简要点评:此实验与前六个实验有略微不同——因为引入了存储器ROM的设计,以下简述创建ROM器件和ROM初始化文件mif的建立步骤。

使用软件:Quartus II 9.0 sp2

先完成分频,数码管扫描以及PC寄存器的设计。

分频模块:

Library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity FRQ is
port(clk_in:in std_logic;
     clk_out:out std_logic
     );
end;

architecture one of FRQ is
	signal temp:std_logic_vector(7 downto 0);
begin
	process(clk_in)
	begin
		if clk_in'event and clk_in='1' then
			temp<=temp+1;
			clk_out<=temp(7);
	    end if;
	end process;
end;


PC寄存器模块:

mode为模式控制,0为地址置数,1为地址自增。

add为PC寄存器自增操作数,由另外一组信号控制,可以控制add为0或1,当add为1时PC寄存器读取完当前内容就会自增1读取下一个数据,当add为0PC寄存器会暂停在当前地址不再前进。

reset为PC寄存器清零端。

library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all; 	
entity PC_RIGISTER is
port(clk_
  • 8
    点赞
  • 12
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值