【芯片验证】UVM寄存器

系列文章目录

注意事项

  • UVM寄存器模型实现方式大部分都是范式,可以先理解其使用方法。感兴趣的可以深入源码更进一步的学习
  • 有些概念为了方便理解,可能不是很严谨
  • 文章中所有代码依据寄存器表单,请依据寄存器表单理解代码

基本概念

寄存器,寄存器域,寄存器表单的概念传送门:
寄存器模型基本原理

说明:下面所说的基本概念先不涉及寄存器模型嵌套,关于嵌套后续有专门章节进行说明

uvm_reg_field:寄存器域
uvm_reg:寄存器,一个寄存器可以包含多个uvm_reg_field
uvm_reg_block:一个uvm_reg_block可以包含多个uvm_reg,通常与寄存器表单对应
uvm_reg_map:包含base_addr,offset等信息
在这里插入图片描述
在这里插入图片描述

创建简单的寄存器模型

创建uvm_reg类

class uart_data extends uvm_reg;
	rand uvm_reg_field overrun_error;
	rand uvm_reg_field break_error;
	rand uvm_reg_field parity_error;
	rand uvm_reg_field framing_error;
	rand uvm_reg_field data;

	virtual function void build();
		overrun_error = uvm_reg_field::type_id::create("overrun_error");
		break_error = uvm_reg_field::type_id::create("break_error");
		parity_erro r= uvm_reg_field::type_id::create("parity_error");
		framing_error = uvm_reg_field::type_id::create("framing_error");
		data = uvm_reg_field::type_id::create("data");

		overrun_error.configure(this,1,11,"RW",0,1'd0,1,1,0);
		break_error.configure(this,1,10,"RW",0,1'd0,1,1,0);
		parity_error.configure(this,1,9,"RW",0,1'd0,1,1,0);
		framing_error.configure(this,1,8,"RW",0,1'd0,1,1,0);
		data.configure(this,8,0,"RW",0,8'd0,1,1,0);

		`uvm_object_utils(uart_data )

		function new(string name);
			super.new(name,32,UVM_CRV_ALL);
		endfunction
	endfunction
endclass

第2-6行声明uvm_reg_field数据类型的变量,这里添加了rand属性说明可以通过randomize去随机化,然后将随机化的寄存器/寄存器域值更新到DUT中。这种方式常用于测试环境在真正跑业务之前,随机化配置DUT寄存器。有关随机化的例子传送门:
(留个坑位)
第9-13行创建uvm_reg_field实例
第15-19行配置uvm_reg_field,配置每个参数含义如下,红色框图可以先忽略。以parity_error为例进行说明:
在这里插入图片描述
第一个参数:指定父类指针,uvm_reg_field父类句柄类型为uvm_reg,上述代码中parity_error就在uart_data中生成,其父类即this表示uart_data句柄本身。
第二个参数:域位宽,单位为bit。parity_error域只有1bit,域位宽为1
第三个参数:域起始bit位,parity_error从bit9开始,所以值为9
第四个参数:访问类型,parity_error可读可写,为RW类型
第五个参数:volatile,在IEEE 1685-2009 IP-XACT标准中,定义寄存器volatile的含义是:
比如寄存器是RW的,DUT内部逻辑也有可能更改寄存器的值。如果验证环境发起一次先写后读操作,可能读取的值不一定是写入的值。

遗留:如果设置volatile参数为1,uvm_reg_single_bit_bash_seq和uvm_reg_access_seq序列比对是否会出错?

第六个参数:复位值,parity_error复位值为0
第七个参数:是否有复位,一般情况下都有复位,值为1
第八个参数:是否随机开关,1表示可以对reg field随机化
第九个参数:individually_accessible,具体怎么用不知道?
这样我们就创建好了uart_data寄存器模型。

创建uvm_reg_block类

class reg_model extends uvm_reg_block;
	rand uvm_reg uart_data;
	rand uvm_reg baud_div;

	virtual function void build();
		uart_data = uvm_reg::type_id::create("uart_data", ,get_full_name());
		baud_div= uvm_reg::type_id::create("baud_div", ,get_full_name());

		uart_data .configure(this,null,"");
		baud_div.configure(this,null,"");

		uart_data.build();
		baud_div.build();

		map = create_map("map",0,4,UVM_CRV_ALL);
		map.add_reg(uart_data ,

		`uvm_object_utils(reg_model )

		function new(string name);
			super.new(name,UVM_CRV_ALL);
		endfunction
	endfunction
endclass

第2-3行声明uvm_reg数据类型的变量,为什么用rand?
第6-7行创建uvm_reg_field实例。
第一个参数:指定生成实例的名称,用字符串表示
第二个参数:
第三个参数:
第9-10行配置uvm_reg,配置每个参数含义如下,红色框图可以先忽略。以uart_data为例进行说明:
第一个参数:指定父类,一般uvm_reg父类即uvm_reg_block,上述代码中uart_data就在reg_model中生成,其父类即reg_model,所以用this表示本身。
第二个参数:暂时忽略
第三个参数:暂时忽略
在这里插入图片描述
第12-13行调用uvm_reg中的build函数。
第14行创建一个uvm_reg_map实例。
第一个参数:指定生成实例的名称,用字符串表示
第二个参数:uvm_reg_block的基地址,与寄存器表单中的base_addr值一致
第三个参数:数据位宽,单位为Byte,与寄存器表单中的data_width值一致
第四个参数:暂时忽略
第五个参数:暂时忽略
在这里插入图片描述第15-16行将uvm_reg添加到uvm_reg_map中。
第一个参数:uvm_reg的实例名称
第二个参数:相对基地址偏移,与寄存器表单中的offset值一致
第三个参数:访问类型
在这里插入图片描述
至此,基本的RAL寄存器模型已经生成完毕。

UVM(Universal Verification Methodology)寄存器模型是一用于验证芯片寄存器功能的标准方法。它提供了一个统一的、可重用的框架,用于建立和管理寄存器模型,以及执行寄存器访问和验证UVM寄存器模型的主要组成部分包括寄存器模型、寄存器层次结构、寄存器操作和寄存器验证环境。 1. 寄存器模型:UVM寄存器模型是一个抽象的表示,用于描述芯片内部的寄存器寄存器字段。它提供了一种结构化的方式来定义寄存器的属性、寄存器字段的位宽和访问权限等。 2. 寄存器层次结构:UVM寄存器模型支持多层级的寄存器结构,可以通过层级关系来描述芯片内部的寄存器模块和子模块。这样可以更好地组织和管理寄存器模型,并提供寄存器之间的相互作用和访问。 3. 寄存器操作:UVM提供了一系列的API,用于执行寄存器读写操作。通过这些API,可以向寄存器模型发送读写请求,并获取响应。同时,还可以对寄存器的访问进行配置和控制,如重置、写入默认值等。 4. 寄存器验证环境:UVM寄存器模型可以与其他验证环境进行集成,以验证寄存器功能的正确性。通过使用事务级建模(TLM)接口,可以将寄存器操作与其他验证组件进行交互,并进行功能验证、覆盖率分析和错误注入等。 总之,UVM寄存器模型提供了一种规范化的方法来描述和验证芯片寄存器功能。它具有可重用性、灵活性和扩展性,并能与其他验证组件进行集成,从而提高验证效率和可靠性。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值