vivado中testbench的一些技巧

自己工程中用到的一些testbench的代码,以后方便copy直接使用,节省开发时间。

一、做数据处理时,数据流的产生

有限数据流的产生。

   integer i;
   reg [15:0] st[1:100];
   initial
   begin
        $readmemh("G:/zz/data.txt",st);
        i=0;
        s_axis_tdada1=0;
        repeat(100)
            begin
                #10 
                i = i+1;
                s_axis_tdada1 = st[i];
            end
            
  end

以上代码是产生宽度为2B,深度为100的ROM。

如上图所示。

2、无线数据流的产生

reg [39:0] st[1:100];
initial
    begin
        $readmemh("G:/zz/data1.txt",st);
    end

reg [7:0]j;
always@(posedge clk_187 or negedge rst_n)
if(!rst_n)
begin
    j = 8'b0;
end
else 
    if(j == 8'd100)
    begin
         j = 1'b0;   
         s_axis_tdada = 40'd0;
    end 
    else
        begin
            j = j +1;
            s_axis_tdada = st[j];
        end
自己编写的一个简单的算法,从而实现宽度为5B,深度为100的ROM重复重复产生数据。

  • 1
    点赞
  • 9
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值