论文阅读 Decoupling “when to update” from “how to update”

NIPS2017的文章。


之前我们设计supervised的NN都是采用从data中取出一些batch,然后用这些data来通过loss 来update 网络中的参数,但是有一个很明显的问题是,为了training,我们需要的data会很多,但是这些data会有noise,因为标注并不是所有的都那么准确。所以如果我们用错误的data label来update我们的network,很有可能我们的network此时预测正确了,但是label告诉network,它错了,这样update以后,performance会降低。这篇文章提出了我们要设计两个predictor,当两个predictor预测没有分歧的时候,网络不更新,有disagreement的时候网络才进行更新;也就是决定了when to update。


其实也就是maintain了两个网络,这两个网络的不同只是在于random initialization而已,然后只在这两个网络有分歧的时候才进行bp的更新。


为了证明这个方法的鲁棒性,作者在通过给定的face来预测gender的任务上进行实验,用的是Labled Faces in the Wild 数据集,原来数据集其中并没有gender label,只有每个人脸的name的label。gender label是作者在网上找了个根据name 预测gender的service来标的,所以噪声很大。作者在这个任务上表现很好,并且在clear的数据集上,作者的方法也表现的很好。


作者做了收敛性证明,这里就不展开了。

  • 0
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
"Decoupling of mode" (模式解耦)是指在某个系统或过程中,将不同的功能或模块从彼此紧密耦合的状态中解开,使得它们可以独立地操作或发展。这种解耦可以带来一系列的好处,包括提高系统的灵活性、可扩展性和可维护性。 模式解耦的好处之一是系统的灵活性。通过解耦不同的功能或模块,可以使得系统更容易进行修改或扩展。例如,在软件开发中,如果各个模块之间过于紧密耦合,当需要对其中一个模块进行修改时,可能会影响到其他模块的正常运行,从而增加了修改的难度。而通过解耦,可以降低这种影响,使得系统更加灵活,能够更快速地进行修改或适应变化。 另一个好处是系统的可扩展性。通过解耦模块,可以更方便地添加新的功能或模块,而不会对原有的系统产生太大的影响。例如,在一个电子商务网站中,如果模块之间的耦合度较高,添加一个新的支付方式可能需要对多个模块进行修改。而通过解耦,可以将支付模块与其他模块解耦,从而更容易地添加新的支付方式,提高系统的可扩展性。 此外,模式解耦还有助于系统的可维护性。当各个功能或模块相互独立时,对系统进行维护或修复时可以更加专注和有效。如果模块之间过于紧密耦合,一个小的问题可能会影响到整个系统,导致维护过程变得复杂和困难。通过解耦,可以将问题范围限定在一个模块内,使得维护工作更加高效。 总的来说,“decoupling of mode”是一种通过解开紧密耦合的模块或功能之间的关系,使得系统更加灵活、可扩展和可维护的方法。它在各种领域,包括软件开发、系统设计和工程管理等方面都有着广泛的应用和重要的价值。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值