23_输出三者中的较小值.cpp

#include <iostream>

using namespace std;

int main()
{
	int a, b, c;
	int f(int x, int y, int z);
	cin>>a>>b>>c;
	c = f(a, b, c);
	cout<<c<<endl;
	
	return 0;
}

int f(int x, int y, int z)
{
	int m;
	if(x<y)
		m = x;
	else 
		m = y;
	if(z<m)
		m = z;
	return (m);
}

  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
这些文件是 Verilator 工程目录的一些典型文件,它们的作用如下: - `Vtop`:这是由 Verilator 生成的仿真器可执行文件。它是通过编译 Verilog 或 SystemVerilog 源代码生成的 C++ 代码构建的。 - `Vtop__ALL.a`:这是一个静态链接库,包含了仿真器的所有对象文件,用于构建仿真器可执行文件。 - `Vtop__Syms.cpp`:这是由 Verilator 自动生成的 C++ 源文件,包含了仿真器使用到的模块和信号的符号表信息。 - `Vtop___024root__Slow.cpp`:这是由 Verilator 自动生成的 C++ 源文件,包含了仿真器的主时钟生成逻辑。 - `Vtop.cpp`:这是由 Verilator 自动生成的 C++ 源文件,包含了仿真器的主要逻辑,包括初始化、仿真循环等。 - `Vtop__Syms.h`:这是由 Verilator 自动生成的头文件,包含了仿真器使用到的模块和信号的符号表定义。 - `Vtop__ver.d`:这是由 Verilator 自动生成的依赖文件,记录了仿真器构建过程所依赖的源文件。 - `sim_main.d`:这是由编译过程生成的依赖文件,记录了仿真器构建过程所依赖的其他文件。 - `sim_main.o`:这是由编译器生成的目标文件,包含了仿真器构建过程编译的源文件的对象代码。 - `Vtop.h`:这是由 Verilator 自动生成的头文件,包含了仿真器模块和信号的定义。 - `Vtop__ALL.cpp`:这是由 Verilator 自动生成的 C++ 源文件,包含了仿真器所有对象的构造和析构函数。 - `Vtop___024root.cpp`:这是由 Verilator 自动生成的 C++ 源文件,包含了仿真器主时钟生成逻辑的实现。 - `Vtop__verFiles.dat`:这是由 Verilator 自动生成的文件列表,记录了仿真器构建过程所使用到的源文件。 - `verilated.d`:这是由 Verilator 自动生成的依赖文件,记录了仿真器构建过程所依赖的其他文件。 - `verilated.o`:这是由编译器生成的目标文件,包含了仿真器构建过程编译的 Verilator 的相关代码的对象代码。 这些文件是 Verilator 工具在编译和构建过程生成的间和输出文件,用于生成仿真器可执行文件,并提供仿真所需的功能和接口。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值